基本信息
王文武  男  博导  院机关
email: wangwenwu@ime.ac.cn
address: 北京市朝阳区北土城西路3号
postalCode: 100029

教育背景

2003-04--2006-03   日本东京大学   获得工学博士学位
1998-09--2003-03   兰州大学   获得理学博士学位
1992-09--1997-06   兰州大学   本科学习

工作经历

   
工作简历
2008-04~现在, 中科院微电子研究所, 研究员
2006-07~2008-03,日本产业技术综合研究所MIRAI项目, 特别研究员
2006-04~2006-06,日本东京大学, 特聘研究员
2003-04~2006-03,日本东京大学, 获得工学博士学位
1998-09~2003-03,兰州大学, 获得理学博士学位
1997-08~1998-08,中国空间技术研究院第510研究所, 助理工程师
1992-09~1997-06,兰州大学, 本科学习
社会兼职
2016-12-27-今,集成电路测试仪器与装备产业技术创新联盟, 秘书长
2016-06-11-今,北京电子制造装备行业协会, 副秘书长

教授课程

微电子/光电子/智能制造产业技术与实践

专利与奖励

   
奖励信息
(1) 极大规模集成电路关键技术研究集体, , 院级, 2015
(2) 日本半导体MIRAI项目最优秀奖, , 研究所(学校), 2008
(3) 日本半导体MIRAI项目优秀奖, , 研究所(学校), 2007
专利成果
( 1 ) 生物传感器的制造方法、生物传感器及生物传感器的应用, [[[", 第 9 作者, 专利号: [[[CN111693589A]]]

( 2 ) 用于套刻精度测量的标记系统及量测方法, 2024, 第 5 作者, 专利号: CN113534626B

( 3 ) 一种振荡器及其制造方法, 2024, 第 4 作者, 专利号: CN112018231B

( 4 ) 一种铁电场效应管及其制备方法以及铁电存算器件, 2024, 第 5 作者, 专利号: CN113782607B

( 5 ) 一种套刻标记及使用套刻标记进行套刻误差测量的方法, 2024, 第 7 作者, 专利号: CN113848687B

( 6 ) 一种双图案掩膜及其制作方法、半导体器件、电子设备, 2024, 第 6 作者, 专利号: CN113823553B

( 7 ) 一种真空配管连接结构及方法, 2024, 第 6 作者, 专利号: CN114517863B

( 8 ) 一种不合格晶圆的检出装置及检出方法、晶圆制造设备, 2024, 第 6 作者, 专利号: CN114192440B

( 9 ) 一种晶圆边缘上的可旋涂硬掩模去除方法, 2024, 第 8 作者, 专利号: CN113823550B

( 10 ) 一种半导体器件及其制作方法、电子设备, 2024, 第 6 作者, 专利号: CN113690219B

( 11 ) 半导体器件及其制备方法, 2024, 第 8 作者, 专利号: CN115831876B

( 12 ) 光刻胶涂布系统以及更换光刻胶的方法, 2024, 第 8 作者, 专利号: CN111897187B

( 13 ) 半导体结构及其制备方法, 2024, 第 6 作者, 专利号: CN113517257B

( 14 ) 半导体器件的检测方法、半导体器件及电子设备, 2024, 第 5 作者, 专利号: CN113496908B

( 15 ) 一种铁电半导体器件的制备方法及铁电半导体器件, 2024, 第 7 作者, 专利号: CN117877984A

( 16 ) 一种堆叠纳米线或片环栅器件及其制备方法, 2024, 第 5 作者, 专利号: CN111312819B

( 17 ) 位线结构、其制作方法、半导体存储器及电子设备, 2024, 第 6 作者, 专利号: CN113540027B

( 18 ) 一种半导体结构、电子设备和方法, 2024, 第 5 作者, 专利号: CN113517261B

( 19 ) 一种Z2-FET器件及其制备方法、一种半导体器件, 2024, 第 14 作者, 专利号: CN113178489B

( 20 ) 一种半导体器件的制造方法, 2024, 第 5 作者, 专利号: CN113506774B

( 21 ) 一种半导体器件的制造方法, 2024, 第 4 作者, 专利号: CN112038290B

( 22 ) 一种半导体器件及其制备方法、集成电路及电子设备, 2024, 第 8 作者, 专利号: CN111180519B

( 23 ) 一种半导体结构及其制作方法, 2024, 第 6 作者, 专利号: CN117577579A

( 24 ) 半导体器件及其制备方法、集成电路及电子设备, 2024, 第 8 作者, 专利号: CN111180520B

( 25 ) 一种半导体器件及其制作方法、电子设备, 2024, 第 4 作者, 专利号: CN111710717B

( 26 ) 一种具有空气隙隔离的多层金属互连线结构及制作方法, 2024, 第 5 作者, 专利号: CN117558705A

( 27 ) 电容器、半导体器件、电子设备及其制造方法, 2024, 第 5 作者, 专利号: CN113540348B

( 28 ) 位线结构、其制作方法、半导体存储器及电子设备, 2024, 第 6 作者, 专利号: CN113540026B

( 29 ) 电容器、半导体器件、电子设备及其制造方法, 2024, 第 4 作者, 专利号: CN113540347B

( 30 ) 用于形成DRAM的位线接触的隔离图案和制备方法, 2024, 第 5 作者, 专利号: CN113517256B

( 31 ) 一种绝缘体上半导体结构及其抗总剂量辐照加固方法, 2024, 第 7 作者, 专利号: CN112086516B

( 32 ) 一种半导体器件及其制造方法, 2023, 第 3 作者, 专利号: CN117253913A

( 33 ) 一种半导体器件的制造方法, 2023, 第 4 作者, 专利号: CN117253878A

( 34 ) 一种图案化方法、半导体器件, 2023, 第 6 作者, 专利号: CN117238844A

( 35 ) 一种用于半导体器件薄膜的制造方法及系统、半导体器件, 2023, 第 8 作者, 专利号: CN117238843A

( 36 ) 一种环栅晶体管及其制造方法, 2023, 第 4 作者, 专利号: CN117096196A

( 37 ) 一种半导体器件及制作方法和电子设备, 2023, 第 4 作者, 专利号: CN113690218B

( 38 ) 一种单片晶圆湿法腐蚀设备与工艺方法, 2023, 第 5 作者, 专利号: CN117012669A

( 39 ) 一种半导体器件的制造方法, 2023, 第 5 作者, 专利号: CN111916398B

( 40 ) 一种共形孔形成方法及半导体器件、电子设备, 2023, 第 4 作者, 专利号: CN113690175B

( 41 ) 一种半导体器件的制造方法, 2023, 第 4 作者, 专利号: CN116936478A

( 42 ) 光刻胶输送系统, 2023, 第 8 作者, 专利号: CN114345644B

( 43 ) 一种半导体器件及其制造方法、电子设备, 2023, 第 4 作者, 专利号: CN112071912B

( 44 ) 一种半导体器件及其制造方法、电子设备, 2023, 第 5 作者, 专利号: CN111916448B

( 45 ) 一种半导体器件及其制作方法, 2023, 第 4 作者, 专利号: CN111710649B

( 46 ) 一种半导体器件的制造方法, 2023, 第 4 作者, 专利号: CN116884847A

( 47 ) 一种半导体器件的制备方法及半导体器件, 2023, 第 14 作者, 专利号: CN113178488B

( 48 ) 一种真空管线清洁系统及方法, 2023, 第 6 作者, 专利号: CN114733857B

( 49 ) 一种光子芯片晶圆级测试装置和方法, 2023, 第 8 作者, 专利号: CN112924143B

( 50 ) 一种输送设备、滤罐更换方法和成膜系统, 2023, 第 6 作者, 专利号: CN116658824A

( 51 ) 一种电容器、电容器的形成方法及半导体器件, 2023, 第 6 作者, 专利号: CN116669534A

( 52 ) 一种化学机械平坦化设备、终点检测方法、装置及系统, 2023, 第 5 作者, 专利号: CN116652813A

( 53 ) 一种半导体器件的制作方法, 2023, 第 5 作者, 专利号: CN116669533A

( 54 ) 一种半导体器件及其制造方法, 2023, 第 4 作者, 专利号: CN116598296A

( 55 ) 一种铁电场效应晶体管及其制造方法, 2023, 第 6 作者, 专利号: CN116504636A

( 56 ) 一种铪基铁电场效应晶体管实现的冯诺依曼架构, 2023, 第 7 作者, 专利号: CN116486863A

( 57 ) 一种环栅晶体管及其制造方法, 2023, 第 4 作者, 专利号: CN116487439A

( 58 ) 一种环栅晶体管及其制造方法, 2023, 第 4 作者, 专利号: CN116469938A

( 59 ) 一种半导体器件及其制造方法, 2023, 第 5 作者, 专利号: CN116454136A

( 60 ) 一种鳍状半导体器件及其制作方法、电子设备, 2023, 第 3 作者, 专利号: CN111710716B

( 61 ) 一种环栅半导体器件及制作方法、电子设备, 2023, 第 3 作者, 专利号: CN111710718B

( 62 ) 一种金属纳米结构及其制作方法、电子器件、电子设备, 2023, 第 10 作者, 专利号: CN111415902B

( 63 ) 一种半导体器件及其制备方法, 2023, 第 3 作者, 专利号: CN116387161A

( 64 ) 集成电路设备和半导体器件的制备方法, 2023, 第 8 作者, 专利号: CN115881590A

( 65 ) 一种半导体器件及其制造方法, 2023, 第 5 作者, 专利号: CN113130488B

( 66 ) 氢离子捕捉器、防硫酸铵系统、光刻系统及防硫酸铵方法, 2023, 第 7 作者, 专利号: CN111929990B

( 67 ) 双层硅基光子器件的制作方法及双层硅基光子器件, 2023, 第 9 作者, 专利号: CN112327412B

( 68 ) 集成电路制造用的硬掩膜结构以及集成电路器件制造方法, 2023, 第 7 作者, 专利号: CN115692179A

( 69 ) 一种纳米线及其制作方法, 2023, 第 6 作者, 专利号: CN111128676B

( 70 ) 一种半导体器件及其制造方法, 2023, 第 1 作者, 专利号: CN115662992A

( 71 ) 一种半导体器件及其制造方法, 2023, 第 5 作者, 专利号: CN115621320A

( 72 ) 堆叠纳米线环栅器件及其制作方法, 2023, 第 6 作者, 专利号: CN112151386B

( 73 ) 一种半导体器件的制造方法, 2022, 第 5 作者, 专利号: CN115513142A

( 74 ) 一种拓扑晶体管的制作方法及拓扑晶体管, 2022, 第 7 作者, 专利号: CN115483275A

( 75 ) 一种铁电场效应晶体管及其制造方法、存储器, 2022, 第 7 作者, 专利号: CN115483289A

( 76 ) 存储器芯片测试的失效比特图制作方法、装置及电子设备, 2022, 第 4 作者, 专利号: CN115346589A

( 77 ) 存储器晶圆测试系统及方法, 2022, 第 4 作者, 专利号: CN115331724A

( 78 ) 半导体存储器件的制备方法, 2022, 第 4 作者, 专利号: CN115332178A

( 79 ) 一种半导体器件及其制造方法, 2022, 第 4 作者, 专利号: CN115224030A

( 80 ) 半导体器件及其制备方法, 2022, 第 8 作者, 专利号: CN115207103A

( 81 ) 半导体干燥方法以及DRAM电容器的制造方法, 2022, 第 4 作者, 专利号: CN115206773A

( 82 ) 电容器、半导体器件、电子设备及其制造方法, 2022, 第 6 作者, 专利号: CN115206970A

( 83 ) 一种半导体器件及其制造方法, 2022, 第 7 作者, 专利号: CN115172447A

( 84 ) 一种半导体器件的制造方法, 2022, 第 6 作者, 专利号: CN115116956A

( 85 ) 一种半导体器件及其制造方法, 2022, 第 5 作者, 专利号: CN115117147A

( 86 ) 一种测试元件组及其测试方法, 2022, 第 5 作者, 专利号: CN115083501A

( 87 ) 一种半导体集成电路结构及其制造方法、划片道结构, 2022, 第 6 作者, 专利号: CN115084100A

( 88 ) 一种浅沟槽及其形成方法、半导体结构的制备方法, 2022, 第 6 作者, 专利号: CN115083996A

( 89 ) 半导体结构及其制作方法, 2022, 第 6 作者, 专利号: CN115084139A

( 90 ) 一种半导体器件的制造方法, 2022, 第 4 作者, 专利号: CN115084032A

( 91 ) 一种半导体器件的制造方法, 2022, 第 4 作者, 专利号: CN115083906A

( 92 ) 电源控制装置及其控制方法, 2022, 第 5 作者, 专利号: CN115050403A

( 93 ) 一种鳍式场效应晶体管及其制造方法, 2022, 第 5 作者, 专利号: CN115050818A

( 94 ) 一种环栅晶体管及其制造方法, 2022, 第 5 作者, 专利号: CN115036357A

( 95 ) 一种半导体器件、DRAM及其制造方法, 2022, 第 6 作者, 专利号: CN115020318A

( 96 ) 一种半导体器件的制造方法, 2022, 第 4 作者, 专利号: CN115020409A

( 97 ) 一种测试元件组及其测试方法, 2022, 第 5 作者, 专利号: CN115019873A

( 98 ) 一种环栅晶体管的制造方法, 2022, 第 4 作者, 专利号: CN115020233A

( 99 ) 一种晶圆清洗方法和装置, 2022, 第 4 作者, 专利号: CN114975076A

( 100 ) 一种半导体器件的制造方法及半导体器件, 2022, 第 4 作者, 专利号: CN114975443A

( 101 ) 光刻胶涂布方法, 2022, 第 10 作者, 专利号: CN114967346A

( 102 ) 一种蜂窝状结构的刻蚀方法, 2022, 第 10 作者, 专利号: CN114975093A

( 103 ) 一种多晶硅薄膜的制造方法及半导体器件的制造方法, 2022, 第 7 作者, 专利号: CN114975074A

( 104 ) 一种浅沟槽隔离方法以及浅沟槽隔离结构, 2022, 第 4 作者, 专利号: CN114975227A

( 105 ) 一种半导体器件的制造方法, 2022, 第 7 作者, 专利号: CN114975282A

( 106 ) 一种半导体器件及其制造方法, 2022, 第 7 作者, 专利号: CN114944392A

( 107 ) 一种环栅晶体管及其制造方法, 2022, 第 4 作者, 专利号: CN114899236A

( 108 ) DRAM及其制造方法, 2022, 第 6 作者, 专利号: CN114883327A

( 109 ) 过滤装置及具有其的光刻胶涂布系统, 2022, 第 10 作者, 专利号: CN114870628A

( 110 ) 一种半导体器件的制造方法, 2022, 第 5 作者, 专利号: CN114883270A

( 111 ) 一种节流阀、处理腔室及半导体处理设备, 2022, 第 7 作者, 专利号: CN114857282A

( 112 ) 一种晶圆加工方法, 2022, 第 6 作者, 专利号: CN114864385A

( 113 ) 一种电容器及其制备方法, 2022, 第 4 作者, 专利号: CN114824075A

( 114 ) 芯片注塑装置及方法, 2022, 第 5 作者, 专利号: CN114823365A

( 115 ) 一种半导体器件及其制造方法, 2022, 第 7 作者, 专利号: CN114823668A

( 116 ) 一种半导体结构及其处理方法, 2022, 第 4 作者, 专利号: CN114792625A

( 117 ) 动态随机存储器的芯片测试方法及装置, 2022, 第 4 作者, 专利号: CN114765049A

( 118 ) 一种掩埋沟道晶体管及其制造方法、半导体器件及电子设备, 2022, 第 6 作者, 专利号: CN114744031A

( 119 ) 一种半导体栅极结构及其制备方法, 2022, 第 8 作者, 专利号: CN114744030A

( 120 ) 一种基于曝光区域的芯片封装处理方法, 2022, 第 6 作者, 专利号: CN114743889A

( 121 ) 一种电容结构、DRAM及其制造方法, 2022, 第 8 作者, 专利号: CN114743954A

( 122 ) 一种真空管线清洁系统及方法, 2022, 第 6 作者, 专利号: CN114733857A

( 123 ) 一种晶体管的制造方法, 2022, 第 6 作者, 专利号: CN114709135A

( 124 ) 一种半导体器件的制造方法, 2022, 第 5 作者, 专利号: CN114709175A

( 125 ) 一种半导体器件及其制造方法, 2022, 第 4 作者, 专利号: CN114709222A

( 126 ) 一种半导体器件及其制备方法和存储器件, 2022, 第 8 作者, 专利号: CN114695357A

( 127 ) 一种半导体器件及其制备方法, 2022, 第 8 作者, 专利号: CN114695152A

( 128 ) 一种在半导体器件中形成接触孔的方法、电容器制造方法, 2022, 第 6 作者, 专利号: CN114678324A

( 129 ) 一种加热器及加热系统, 2022, 第 6 作者, 专利号: CN114679800A

( 130 ) 栅极及MOSFET的制造方法, 2022, 第 5 作者, 专利号: CN114678269A

( 131 ) 栅叠层结构、栅极、DRAM及其制造方法, 2022, 第 7 作者, 专利号: CN114678268A

( 132 ) 一种半导体结构及栅极的制作方法, 2022, 第 6 作者, 专利号: CN114678417A

( 133 ) 半导体存储器件及其制作方法, 2022, 第 6 作者, 专利号: CN114678359A

( 134 ) 晶圆晶边清洗装置及方法、涂布设备, 2022, 第 10 作者, 专利号: CN114675493A

( 135 ) 一种半导体器件的制造方法, 2022, 第 5 作者, 专利号: CN114678329A

( 136 ) 柱状电容器结构、柱状电容器的形成方法、DRAM和电子设备, 2022, 第 5 作者, 专利号: CN114664827A

( 137 ) 一种制造半导体结构的方法及半导体结构, 2022, 第 8 作者, 专利号: CN114628389A

( 138 ) 氮化硅沉积方法及半导体装置的制造方法, 2022, 第 7 作者, 专利号: CN114628254A

( 139 ) 半导体器件的接触孔形成方法、半导体器件, 2022, 第 8 作者, 专利号: CN114628315A

( 140 ) 一种着陆焊盘和DRAM的形成方法, 2022, 第 6 作者, 专利号: CN114628264A

( 141 ) 一种半导体结构的制造方法和DRAM的形成方法, 2022, 第 4 作者, 专利号: CN114613730A

( 142 ) 一种半导体器件及其制造方法, 2022, 第 5 作者, 专利号: CN114613769A

( 143 ) 一种半导体器件及其制造方法, 2022, 第 5 作者, 专利号: CN114613770A

( 144 ) 具有高驱动能力和陡峭SS特性的半导体器件及制造方法, 2022, 第 5 作者, 专利号: CN114566549A

( 145 ) 半导体加工工艺、抽真空装置和半导体工艺设备, 2022, 第 6 作者, 专利号: CN114542425A

( 146 ) 一种用于控制晶片边缘关键尺寸的系统及方法, 2022, 第 6 作者, 专利号: CN114551204A

( 147 ) 一种真空配管连接结构及方法, 2022, 第 6 作者, 专利号: CN114517863A

( 148 ) 半导体集成电路器件及其制作方法、电子设备, 2022, 第 6 作者, 专利号: CN114497028A

( 149 ) 半导体集成电路器件及其制作方法、电子设备, 2022, 第 6 作者, 专利号: CN114497028A

( 150 ) 半导体集成电路器件及其制作方法、电子设备, 2022, 第 6 作者, 专利号: CN114497028A

( 151 ) 一种利用浅槽沟道隔离制造电源线的方法, 2022, 第 7 作者, 专利号: CN114464574A

( 152 ) 一种利用浅槽沟道隔离制造电源线的方法, 2022, 第 7 作者, 专利号: CN114464574A

( 153 ) 堆叠式存储器及其制造方法, 2022, 第 4 作者, 专利号: CN114446334A

( 154 ) 一种半导体器件的制备方法及半导体器件, 2022, 第 7 作者, 专利号: CN114446885A

( 155 ) 堆叠式存储器及堆叠式存储器的存储裸片的重置方法, 2022, 第 4 作者, 专利号: CN114446335A

( 156 ) 一种半导体器件的制备方法及半导体器件, 2022, 第 7 作者, 专利号: CN114446885A

( 157 ) 堆叠式存储器及其制造方法, 2022, 第 4 作者, 专利号: CN114446334A

( 158 ) 堆叠式存储器及堆叠式存储器的存储裸片的重置方法, 2022, 第 4 作者, 专利号: CN114446335A

( 159 ) 一种超临界干燥装置及超临界干燥设备, 2022, 第 4 作者, 专利号: CN114427781A

( 160 ) 反熔丝晶体管及其制造方法、存储单元及其阵列、芯片, 2022, 第 6 作者, 专利号: CN114429991A

( 161 ) 三通阀及半导体制造设备, 2022, 第 6 作者, 专利号: CN114382918A

( 162 ) 一种喷头、半导体设备以及镀膜方法, 2022, 第 6 作者, 专利号: CN114381715A

( 163 ) 贴片装置以及贴片装置的控制方法, 2022, 第 6 作者, 专利号: CN114361061A

( 164 ) 光刻胶输送系统, 2022, 第 8 作者, 专利号: CN114345644A

( 165 ) 非共形非晶硅的沉积方法及制备的半导体结构、电子设备, 2022, 第 5 作者, 专利号: CN114334630A

( 166 ) 与非门树结构, 2022, 第 6 作者, 专利号: CN114217193A

( 167 ) 一种半导体器件的制造方法及半导体器件, 2022, 第 8 作者, 专利号: CN114203903A

( 168 ) 一种测试设备及集成电路测试方法, 2022, 第 4 作者, 专利号: CN114200370A

( 169 ) 一种不合格晶圆的检出装置及检出方法、晶圆制造设备, 2022, 第 6 作者, 专利号: CN114192440A

( 170 ) 一种基板及其制备方法, 2022, 第 8 作者, 专利号: CN110739206B

( 171 ) 一种基板及其制备方法, 2022, 第 9 作者, 专利号: CN110752180B

( 172 ) 水平纳米通道阵列、微纳流控芯片及其制作方法, 2022, 第 6 作者, 专利号: CN111569963B

( 173 ) 半导体结构与其制作方法, 2022, 第 6 作者, 专利号: CN109950153B

( 174 ) 一种半导体器件结构的制造方法, 2022, 第 5 作者, 专利号: CN114141710A

( 175 ) 具有气隙的互连结构及方法, 2022, 第 6 作者, 专利号: CN114121881A

( 176 ) 一种芯片和芯片的制造方法, 2022, 第 4 作者, 专利号: CN114093782A

( 177 ) 一种硬掩模图案的制造方法和DRAM电容的制造方法, 2022, 第 5 作者, 专利号: CN114093754A

( 178 ) 纳米线器件的制作方法, 2022, 第 6 作者, 专利号: CN109830525B

( 179 ) 形成用于半导体的电容器结构的方法和电容器, 2022, 第 7 作者, 专利号: CN114068414A

( 180 ) 形成用于半导体的电容器结构的方法、电容器和电子设备, 2022, 第 8 作者, 专利号: CN114068417A

( 181 ) 半导体电容器结构及其制造方法、存储器、电子设备, 2022, 第 6 作者, 专利号: CN114068541A

( 182 ) 半导体电容器结构及制造方法, 2022, 第 5 作者, 专利号: CN114068540A

( 183 ) 制造DRAM电容器的方法, 2022, 第 7 作者, 专利号: CN114068416A

( 184 ) 光刻胶回吸装置、光刻胶涂布设备及光刻胶涂布方法, 2022, 第 7 作者, 专利号: CN114054287A

( 185 ) 一种半导体器件及其制备方法、电子设备, 2022, 第 3 作者, 专利号: CN114038911A

( 186 ) 用于激光器腔室的电极、激光器系统及曝光设备, 2022, 第 7 作者, 专利号: CN111585152B

( 187 ) 一种纳米线结构及其制造方法, 2022, 第 3 作者, 专利号: CN108470685B

( 188 ) 一种刻蚀方法、半导体器件及电子设备, 2022, 第 6 作者, 专利号: CN113972129A

( 189 ) 一种半导体器件及其制造方法和电子设备, 2022, 第 4 作者, 专利号: CN113972208A

( 190 ) 半导体接触结构、其制作方法及半导体存储器, 2022, 第 7 作者, 专利号: CN113964124A

( 191 ) 一种用于形成半导体器件图案的方法, 2022, 第 7 作者, 专利号: CN113964025A

( 192 ) 一种半导体结构、半导体结构的制造方法及一种电子设备, 2022, 第 4 作者, 专利号: CN113964104A

( 193 ) 一种制造半导体器件的方法, 2022, 专利号: CN113964044A

( 194 ) T形栅极的制造方法、高电子迁移率晶体管, 2022, 第 7 作者, 专利号: CN113964028A

( 195 ) 堆叠式电容器、半导体存储器件及制备方法, 2022, 第 6 作者, 专利号: CN113937090A

( 196 ) 半导体结构、其制作方法、半导体存储器及电子设备, 2022, 第 6 作者, 专利号: CN113903739A

( 197 ) 半导体结构、制造方法及电子设备, 2022, 第 5 作者, 专利号: CN113903737A

( 198 ) 晶圆的处理方法及激光退火装置, 2022, 第 6 作者, 专利号: CN113903653A

( 199 ) 半导体结构、半导体结构的制造方法及电子设备, 2022, 第 4 作者, 专利号: CN113903738A

( 200 ) 具有双层电容结构的DRAM、半导体器件及其制造方法, 2022, 第 6 作者, 专利号: CN113903735A

( 201 ) 标签的识别及处理方法以及标签的识别及处理系统, 2022, 第 6 作者, 专利号: CN113903678A

( 202 ) 一种半导体结构、制造方法及电子设备, 2022, 第 4 作者, 专利号: CN113903736A

( 203 ) 一种抛光垫材料及抛光垫, 2022, 第 4 作者, 专利号: CN113896856A

( 204 ) 一种套刻标记及使用套刻标记进行套刻误差测量的方法, 2021, 第 7 作者, 专利号: CN113848687A

( 205 ) 一种用于控制晶圆上的涂层厚度的方法, 2021, 第 7 作者, 专利号: CN113851370A

( 206 ) 半导体结构、其制作方法、半导体存储器及电子设备, 2021, 第 9 作者, 专利号: CN113838851A

( 207 ) 低介电常数金属层间介质层结构及其制造方法, 2021, 第 6 作者, 专利号: CN113838907A

( 208 ) 双重构图的曝光方法, 2021, 第 8 作者, 专利号: CN113835302A

( 209 ) 一种双图案掩膜及其制作方法、半导体器件、电子设备, 2021, 第 6 作者, 专利号: CN113823553A

( 210 ) 一种晶圆边缘上的可旋涂硬掩模去除方法, 2021, 第 8 作者, 专利号: CN113823550A

( 211 ) 一种多重图形化的方法, 2021, 第 6 作者, 专利号: CN113808938A

( 212 ) 刻蚀腔室中原位类原子层沉积方法, 2021, 第 6 作者, 专利号: CN113808910A

( 213 ) 半导体器件的应力测量装置以及方法, 2021, 第 4 作者, 专利号: CN113791325A

( 214 ) 一种半导体器件及其制造方法、电子设备, 2021, 第 4 作者, 专利号: CN113782605A

( 215 ) 一种铁电场效应管及其制备方法以及铁电存算器件, 2021, 第 5 作者, 专利号: CN113782607A

( 216 ) 半导体结构及其形成方法、动态随机存储器、电子设备, 2021, 第 5 作者, 专利号: CN113764416A

( 217 ) 一种二极管、探测器及探测器的制作方法, 2021, 第 11 作者, 专利号: CN111244193B

( 218 ) 一种共形孔形成方法及半导体器件、电子设备, 2021, 第 4 作者, 专利号: CN113690175A

( 219 ) 一种金属硅化物的制备方法、半导体器件、电子设备, 2021, 第 8 作者, 专利号: CN113690133A

( 220 ) 一种半导体器件及制作方法和电子设备, 2021, 第 4 作者, 专利号: CN113690218A

( 221 ) 一种半导体器件及其制作方法、电子设备, 2021, 第 6 作者, 专利号: CN113690219A

( 222 ) 一种高光谱图像传感器单片集成方法、传感器及成像设备, 2021, 第 6 作者, 专利号: CN110867461B

( 223 ) 改进的光刻方法, 2021, 专利号: CN113534627A

( 224 ) 半导体器件套刻精度的测量方法, 2021, 第 5 作者, 专利号: CN113539867A

( 225 ) 位线结构、其制作方法、半导体存储器及电子设备, 2021, 第 6 作者, 专利号: CN113540027A

( 226 ) 电容器、半导体器件、电子设备及其制造方法, 2021, 第 5 作者, 专利号: CN113540348A

( 227 ) 光刻胶涂布系统及方法, 2021, 第 7 作者, 专利号: CN111570150B

( 228 ) 一种堆叠纳米线/片器件及其制备方法, 2021, 第 11 作者, 专利号: CN113540246A

( 229 ) 电容器结构及其制造方法, 2021, 专利号: CN113517399A

( 230 ) 晶圆的处理方法, 2021, 专利号: CN113517176A

( 231 ) 金属刻蚀后防止金属腐蚀的方法, 2021, 第 6 作者, 专利号: CN113517219A

( 232 ) 一种半导体结构及其制备方法, 2021, 第 8 作者, 专利号: CN113517287A

( 233 ) 汽化装置、薄膜沉积设备及薄膜沉积中汽化前驱体的方法, 2021, 第 7 作者, 专利号: CN113512718A

( 234 ) 用于形成DRAM的位线接触的隔离图案和制备方法, 2021, 第 5 作者, 专利号: CN113517256A

( 235 ) 半导体位线接触件的制造方法、位线的制造方法及存储器, 2021, 第 6 作者, 专利号: CN113517218A

( 236 ) 一种半导体结构、电子设备和方法, 2021, 第 5 作者, 专利号: CN113517261A

( 237 ) 一种半导体器件及其形成方法、电子设备, 2021, 第 6 作者, 专利号: CN113517286A

( 238 ) 一种具有高深宽比结构的半导体器件及其制造方法, 2021, 第 6 作者, 专利号: CN113517171A

( 239 ) 一种半导体器件的制造方法, 2021, 第 5 作者, 专利号: CN113506774A

( 240 ) 集成组合件、其制作方法、半导体存储器及电子设备, 2021, 第 6 作者, 专利号: CN113496994A

( 241 ) 半导体器件的检测方法、半导体器件及电子设备, 2021, 第 5 作者, 专利号: CN113496908A

( 242 ) 谐振模式的操纵方法及操纵系统、电子设备和存储介质, 2021, 第 5 作者, 专利号: CN113391377A

( 243 ) 一种堆叠纳米线或片CMOS器件制备方法, 2021, 第 6 作者, 专利号: CN110729248B

( 244 ) 纳米线围栅MOS器件及其制备方法, 2021, 第 7 作者, 专利号: CN108962750B

( 245 ) 一种半导体器件的制造方法, 2021, 第 8 作者, 专利号: CN113314423A

( 246 ) 一种半导体器件的制造方法, 2021, 第 8 作者, 专利号: CN113314423A

( 247 ) 一种纳米网的制备方法, 2021, 第 10 作者, 专利号: CN113173553A

( 248 ) 一种垂直纳米线阵列的制备方法, 2021, 第 8 作者, 专利号: CN113173557A

( 249 ) 一种纳米线MIM阵列器件及制备方法, 2021, 第 10 作者, 专利号: CN113173555A

( 250 ) 一种硅基电光调制器及其制备方法, 2021, 第 6 作者, 专利号: CN113176675A

( 251 ) 一种Z 2 -FET器件及其制备方法、一种半导体器件, 2021, 第 14 作者, 专利号: CN113178489A

( 252 ) 一种半导体结构及其制备方法, 2021, 专利号: CN109003902B

( 253 ) 一种半导体器件的制造方法, 2021, 专利号: CN113130630A

( 254 ) 一种半导体器件的制造方法, 2021, 专利号: CN113130630A

( 255 ) 一种半导体器件的制造方法, 2021, 专利号: CN113130485A

( 256 ) 一种半导体器件的制造方法, 2021, 专利号: CN113130485A

( 257 ) 一种半导体器件及其制造方法, 2021, 专利号: CN113130488A

( 258 ) 一种半导体器件的制造方法, 2021, 第 5 作者, 专利号: CN113130489A

( 259 ) 一种半导体器件及其制造方法, 2021, 第 4 作者, 专利号: CN113013164A

( 260 ) 一种集成电路, 2021, 专利号: CN112992886A

( 261 ) 一种半导体器件及其制造方法, 2021, 专利号: CN112992899A

( 262 ) 一种光子芯片晶圆级测试装置和方法, 2021, 第 8 作者, 专利号: CN112924143A

( 263 ) 用于经时击穿测试的探针卡及经时击穿测试方法, 2021, 第 10 作者, 专利号: CN112731073A

( 264 ) 用于经时击穿测试的探针卡及经时击穿测试方法, 2021, 第 10 作者, 专利号: CN112731073A

( 265 ) 确定器件故障点的测试方法及装置、存储介质, 2021, 第 10 作者, 专利号: CN112649699A

( 266 ) 一种热光器件及其制造方法, 2021, 第 8 作者, 专利号: CN112558331A

( 267 ) 一种低噪声热电堆器件的制作方法, 2021, 第 7 作者, 专利号: CN112563403A

( 268 ) 一种悬桥结构热电堆器件的制作方法, 2021, 第 7 作者, 专利号: CN112563402A

( 269 ) 一种纳米森林结构的制备方法, 2021, 第 9 作者, 专利号: CN112520688A

( 270 ) 利用图形反转制作光子晶体的方法及光子晶体, 2021, 第 9 作者, 专利号: CN112462468A

( 271 ) 利用侧墙转移制作硅基光子器件的方法及硅基光子器件, 2021, 第 9 作者, 专利号: CN112462470A

( 272 ) 制作光子晶体的方法及光子晶体, 2021, 第 9 作者, 专利号: CN112462452A

( 273 ) 双层硅基光子器件的制作方法及双层硅基光子器件, 2021, 第 9 作者, 专利号: CN112327412A

( 274 ) 内侧墙的刻蚀方法、刻蚀气体及纳米线器件的制备方法, 2021, 第 9 作者, 专利号: CN110938434B

( 275 ) 一种半导体器件及其制作方法、集成电路以及电子设备, 2021, 第 3 作者, 专利号: CN112185892A

( 276 ) 一种半导体器件及其制造方法、电子设备, 2021, 第 4 作者, 专利号: CN112186040A

( 277 ) 堆叠纳米线环栅器件及其制作方法, 2020, 第 6 作者, 专利号: CN112151386A

( 278 ) 一种静态随机存储器中冗余信息修复方法、装置、存储介质及终端, 2020, 第 2 作者, 专利号: CN112114998A

( 279 ) 一种绝缘体上半导体结构及其抗总剂量辐照加固方法, 2020, 第 7 作者, 专利号: CN112086516A

( 280 ) 检测及清理光掩模的玻璃面及膜面上的微尘的装置及方法, 2020, 第 7 作者, 专利号: CN112051273A

( 281 ) 一种振荡器及其制造方法, 2020, 第 4 作者, 专利号: CN112038483A

( 282 ) 一种半导体器件的制作方法、半导体器件以及电子设备, 2020, 第 3 作者, 专利号: CN112038291A

( 283 ) 一种立式化学气相沉积炉及其应用, 2020, 第 7 作者, 专利号: CN112030140A

( 284 ) 高吸收热电堆及其制作方法, 2020, 第 7 作者, 专利号: CN111969098A

( 285 ) 高吸收纳米结构热电堆及其制作方法, 2020, 第 7 作者, 专利号: CN111964794A

( 286 ) 高光谱图像传感器的单片集成方法、高光谱图像传感器及成像设备, 2020, 第 7 作者, 专利号: CN111952326A

( 287 ) 氢离子捕捉器、防硫酸铵系统、光刻系统及防硫酸铵方法, 2020, 第 7 作者, 专利号: CN111929990A

( 288 ) 掩模版传送装置、掩模版处理设备及掩模版传送方法, 2020, 第 8 作者, 专利号: CN111908124A

( 289 ) 一种用于晶圆烘烤的温度控制方法, 2020, 第 8 作者, 专利号: CN111900076A

( 290 ) 光刻胶涂布系统以及更换光刻胶的方法, 2020, 第 8 作者, 专利号: CN111897187A

( 291 ) 用于识别晶圆的识别标记、在晶圆上形成识别标记的系统及方法, 2020, 第 6 作者, 专利号: CN111900147A

( 292 ) 一种用于半导体制造的气体混合设备及混合方法, 2020, 第 6 作者, 专利号: CN111816586A

( 293 ) 一种半导体器件及其制作方法、集成电路及电子设备, 2020, 第 5 作者, 专利号: CN111799331A

( 294 ) 光刻胶涂布喷嘴及具有其的光刻胶涂布设备, 2020, 第 8 作者, 专利号: CN111739823A

( 295 ) 一种环栅半导体器件及制作方法、电子设备, 2020, 第 3 作者, 专利号: CN111710718A

( 296 ) 一种鳍状半导体器件及其制作方法、电子设备, 2020, 第 3 作者, 专利号: CN111710716A

( 297 ) 一种鳍式场效应晶体管及其制作方法、电子设备, 2020, 第 4 作者, 专利号: CN111710713A

( 298 ) 厚度测量装置、系统及测量方法, 2020, 第 6 作者, 专利号: CN111649680A

( 299 ) 气流扩散装置及扩散炉, 2020, 第 8 作者, 专利号: CN111575799A

( 300 ) 热电堆及其制作方法, 2020, 第 7 作者, 专利号: CN111540824A

( 301 ) 一种膜板、研磨头和化学机械研磨装置, 2020, 第 6 作者, 专利号: CN111469044A

( 302 ) 一种电荷捕获型存储器及其制作方法, 2020, 第 2 作者, 专利号: CN111463217A

( 303 ) 用于曝光设备的调整装置、方法及曝光设备, 2020, 第 7 作者, 专利号: CN111443577A

( 304 ) 半导体器件及其制作方法、集成电路及电子设备, 2020, 第 5 作者, 专利号: CN111446297A

( 305 ) 一种金属纳米结构及其制作方法、电子器件、电子设备, 2020, 第 10 作者, 专利号: CN111415902A

( 306 ) 一种刻蚀方法及系统、刻蚀控制装置、电子器件及设备, 2020, 第 9 作者, 专利号: CN111370308A

( 307 ) 衬底键合方法、三维集成基板及电路、电子设备和芯片, 2020, 第 7 作者, 专利号: CN111370321A

( 308 ) 一种存储器件及其制作方法、存储器及电子设备, 2020, 第 6 作者, 专利号: CN111341909A

( 309 ) 一种堆叠纳米线或片环栅器件及其制备方法, 2020, 第 5 作者, 专利号: CN111312819A

( 310 ) 一种电子器件及其制作方法、集成电路和电子设备, 2020, 第 7 作者, 专利号: CN111211110A

( 311 ) 半导体器件及其制备方法、集成电路及电子设备, 2020, 第 8 作者, 专利号: CN111180520A

( 312 ) 一种半导体器件及其制备方法、集成电路及电子设备, 2020, 第 8 作者, 专利号: CN111180519A

( 313 ) 一种纳米线及其制作方法, 2020, 第 6 作者, 专利号: CN111128676A

( 314 ) 一种微纳通孔的制备方法及具有微纳通孔的结构, 2020, 第 8 作者, 专利号: CN111115561A

( 315 ) 一种STT-MRAM存储器单元及其制备方法, 2020, 第 5 作者, 专利号: CN111081867A

( 316 ) 一种鳍状结构、半导体器件及其制备方法, 2020, 第 8 作者, 专利号: CN111029258A

( 317 ) 一种钽掩模的制备方法, 2020, 第 5 作者, 专利号: CN111009462A

( 318 ) 一种表面电极离子阱与硅光器件的集成结构及三维架构, 2020, 第 3 作者, 专利号: CN110943133A

( 319 ) 一种半导体器件纳米线及其制备方法, 2020, 第 7 作者, 专利号: CN110896027A

( 320 ) 一种堆叠纳米线或片环栅CMOS器件的制备方法, 2020, 第 6 作者, 专利号: CN110896055A

( 321 ) 一种鳍状结构及半导体器件的制备方法, 2020, 第 5 作者, 专利号: CN110896034A

( 322 ) 表面电极离子阱与硅光寻址及探测器、及架构的集成方法, 2020, 第 3 作者, 专利号: CN110854235A

( 323 ) 一种鳍状结构及半导体器件的制备方法, 2020, 第 5 作者, 专利号: CN110752155A

( 324 ) 一种鳍状结构的制备方法以及半导体器件的制备方法, 2020, 第 6 作者, 专利号: CN110752156A

( 325 ) 一种与堆叠纳米线或片兼容的输入输出器件及制备方法, 2020, 第 6 作者, 专利号: CN110739272A

( 326 ) 一种接触孔制备方法, 2019, 第 5 作者, 专利号: CN110634801A

( 327 ) 一种金属纳米线或片的制作方法及纳米线或片, 2019, 第 9 作者, 专利号: CN110620033A

( 328 ) 一种稀土掺杂铪基铁电材料、制备方法及半导体器件, 2019, 第 8 作者, 专利号: CN110527978A

( 329 ) 一种氧化钒薄膜的制备方法, 2019, 专利号: CN110453175A

( 330 ) 一种半导体器件制备方法及制备得到的半导体器件, 2019, 第 7 作者, 专利号: CN110349915A

( 331 ) 一种自对准双重图形的制备方法、硬掩模图案, 2019, 第 5 作者, 专利号: CN110335813A

( 332 ) 一种半导体器件及其制作方法及包括该器件的电子设备, 2019, 第 5 作者, 专利号: CN110224029A

( 333 ) 一种高光谱图像传感器的单片集成方法, 2019, 第 6 作者, 专利号: CN110190078A

( 334 ) 一种微电极结构及其制作方法及包括该器件的电子设备, 2019, 第 10 作者, 专利号: CN110174453A

( 335 ) 纳米线、纳米线围栅器件以及纳米孔筛的制备方法, 2019, 第 11 作者, 专利号: CN110164762A

( 336 ) RRAM存储单元的制备方法及RRAM存储单元, 2019, 第 5 作者, 专利号: CN110165051A

( 337 ) 三维固态电容器的制造方法、三维固态电容器及电子设备, 2019, 第 10 作者, 专利号: CN110164851A

( 338 ) 一种红外吸收薄膜结构及制作方法及其电子设备, 2019, 第 9 作者, 专利号: CN110137275A

( 339 ) 一种红外吸收薄膜及其制备方法, 2019, 第 9 作者, 专利号: CN110137308A

( 340 ) 一种各向异性刻蚀图形化聚酰亚胺层的方法, 2019, 第 7 作者, 专利号: CN110137073A

( 341 ) 一种微电极及其形成方法, 2019, 第 2 作者, 专利号: CN110104609A

( 342 ) 半导体器件和制作方法, 2019, 第 7 作者, 专利号: CN110061060A

( 343 ) 半导体器件和制作方法, 2019, 第 7 作者, 专利号: CN110061060A

( 344 ) 选择性刻蚀方法及纳米针尖结构的制备方法, 2019, 第 11 作者, 专利号: CN110002393A

( 345 ) 半导体结构与其制作方法, 2019, 第 6 作者, 专利号: CN109950153A

( 346 ) 半导体结构与其制作方法, 2019, 第 5 作者, 专利号: CN109920738A

( 347 ) 冷源结构MOS晶体管及其制作方法, 2019, 第 8 作者, 专利号: CN109920842A

( 348 ) 一种多态存储器及其制造方法, 2019, 第 8 作者, 专利号: CN109904309A

( 349 ) 一种纳米线围栅器件及其制造方法, 2019, 第 9 作者, 专利号: CN109904234A

( 350 ) 半导体结构与其制作方法, 2019, 第 7 作者, 专利号: CN109904060A

( 351 ) 半导体结构与其制作方法, 2019, 专利号: CN109887847A

( 352 ) 半导体结构及其形成方法, 2019, 第 2 作者, 专利号: CN105932053B

( 353 ) 纳米线器件的制作方法, 2019, 第 6 作者, 专利号: CN109830525A

( 354 ) 半导体装置及其制造方法及包括该装置的电子设备, 2019, 第 4 作者, 专利号: CN109755242A

( 355 ) 环栅纳米线晶体管及其制备方法, 2019, 第 8 作者, 专利号: CN109599335A

( 356 ) 半导体器件与其制作方法, 2019, 专利号: CN109599367A

( 357 ) 半导体器件与其制作方法, 2019, 第 4 作者, 专利号: CN109599367A

( 358 ) 半导体器件及其制造方法, 2019, 专利号: CN109585538A

( 359 ) 一种氮化硅光波导及其制造方法, 2019, 第 9 作者, 专利号: CN109298484A

( 360 ) 自旋轨道转矩驱动器件, 2019, 第 4 作者, 专利号: CN109301063A

( 361 ) 一种光学波导器件的形成方法, 2019, 第 6 作者, 专利号: CN109143465A

( 362 ) 包括氮化硅层的半导体结构的制作方法与MEMS器件, 2018, 第 9 作者, 专利号: CN108922846A

( 363 ) 包括纳米线的器件与其制作方法, 2018, 第 4 作者, 专利号: CN108878422A

( 364 ) 半导体器件与其制作方法, 2018, 第 3 作者, 专利号: CN108831926A

( 365 ) 一种纳米线沟道制作方法, 2018, 第 6 作者, 专利号: CN108807149A

( 366 ) 一种探测器、热敏电阻、氧化钒薄膜及其制造方法, 2018, 第 5 作者, 专利号: CN108807346A

( 367 ) 半导体器件与其制作方法, 2018, 第 3 作者, 专利号: CN108807278A

( 368 ) 一种纳米线的制作方法, 2018, 第 5 作者, 专利号: CN108807170A

( 369 ) 一种匹配(Al,In)GaN材料的超低界面态界面结构及其制备方法, 2018, 第 7 作者, 专利号: CN108565221A

( 370 ) CMOS器件及调节CMOS器件阈值的方法, 2018, 第 3 作者, 专利号: CN108511392A

( 371 ) CMOS器件及调节CMOS器件阈值的方法, 2018, 第 3 作者, 专利号: CN108493157A

( 372 ) 一种纳米线结构及其制造方法, 2018, 第 3 作者, 专利号: CN108470685A

( 373 ) CMOS器件及其制备方法, 2018, 第 3 作者, 专利号: CN108428667A

( 374 ) 一种CMOS纳米线及其制造方法, 2018, 第 3 作者, 专利号: CN108172546A

( 375 ) 一种堆叠纳米线及其制造方法, 2018, 第 3 作者, 专利号: CN108155101A

( 376 ) 一种基于可变功函数栅极的晶体管器件及其制备方法, 2017, 第 6 作者, 专利号: CN107039283A

( 377 ) 一种基于单原子层沉积的金属生长方法, 2017, 第 4 作者, 专利号: CN106987825A

( 378 ) 半导体晶体管金属栅的集成工艺方法, 2017, 第 2 作者, 专利号: CN106601674A

( 379 ) 氮化镓器件介质生长方法及系统, 2016, 第 6 作者, 专利号: CN105957824A

( 380 ) Low Interface State Device and Method for Manufacturing the Same, 2016, 第 5 作者, 专利号: US20160268124(A1)

( 381 ) 一种GaN基功率电子器件及其制备方法, 2016, 第 6 作者, 专利号: CN105895526A

( 382 ) 面向GaN器件的介质生长系统及其操作方法, 2016, 第 5 作者, 专利号: CN105470169A

( 383 ) 半导体器件制造方法, 2015, 第 3 作者, 专利号: CN104766823A

( 384 ) 低界面态器件及其制造方法, 2015, 第 5 作者, 专利号: CN104658894A

( 385 ) 一种自对准接触孔刻蚀工艺方法, 2015, 第 4 作者, 专利号: CN104465493A

( 386 ) 降低栅介质的泄漏电流的方法, 2015, 第 3 作者, 专利号: CN104377126A

( 387 ) 金属栅电极等效功函数调节方法, 2015, 第 2 作者, 专利号: CN104347411A

( 388 ) 一种刻蚀方法, 2014, 专利号: CN104211010A

( 389 ) 采用电子束工艺定义连接孔的方法, 2014, 第 6 作者, 专利号: CN103794551A

( 390 ) 一种半导体结构及其制作方法, 2014, 第 3 作者, 专利号: CN103681801A

( 391 ) 具有双功函数金属栅的互补场效应晶体管及其制造方法, 2014, 第 3 作者, 专利号: CN103579113A

( 392 ) 栅极结构的形成方法、半导体器件的形成方法以及半导体器件, 2014, 第 3 作者, 专利号: CN103545191A

( 393 ) 栅极结构的形成方法、半导体器件的形成方法以及半导体器件, 2014, 第 3 作者, 专利号: CN103545190A

( 394 ) 栅极结构、半导体器件和两者的形成方法, 2014, 第 2 作者, 专利号: CN103545189A

( 395 ) 一种低功函数金属栅形成方法, 2014, 第 3 作者, 专利号: CN103545182A

( 396 ) 半导体器件制造方法, 2014, 专利号: CN103531540A

( 397 ) 一种悬浮结构MEMS器件及其制造方法, 2013, 第 2 作者, 专利号: CN103130177A

( 398 ) SEMICONDUCOR DEVICE AND METHOD FOR MANUFACTURING THE SAME, 2013, 第 1 作者, 专利号: US2013092986A1

( 399 ) 一种半导体器件的制造方法, 2013, 第 2 作者, 专利号: CN102222616B

( 400 ) 一种半导体器件及其制造方法, 2013, 第 1 作者, 专利号: CN102064176B

( 401 ) 金属源漏SOI MOS晶体管及其形成方法, 2012, 第 4 作者, 专利号: CN102832127A

( 402 ) Method of manufacturing a high-performance semiconductor device, 2012, 第 2 作者, 专利号: US8329566(B2)

( 403 ) 开口的填充方法, 2012, 第 2 作者, 专利号: CN102543835A

( 404 ) 带有存储功能的MOS器件及其形成方法, 2012, 第 2 作者, 专利号: CN102543734A

( 405 ) 一种半导体工艺的测试结构及其制造方法, 2012, 第 1 作者, 专利号: CN102468271A

( 406 ) 一种石墨烯器件及其制造方法, 2012, 第 3 作者, 专利号: CN102468333A

( 407 ) 一种高介电常数栅介质材料及其制备方法, 2012, 第 1 作者, 专利号: CN102453866A

( 408 ) CMOSFET DEVICE WITH CONTROLLED THRESHOLD VOLTAGE CHARACTERISTICS AND METHOD OF FABRICATING THE SAME, 2012, 第 1 作者, 专利号: US20120104506(A1)

( 409 ) 后栅工艺中金属栅的制作方法, 2012, 第 2 作者, 专利号: CN102437032A

( 410 ) 一种用于后栅工艺的平坦化方法及其器件结构, 2012, 第 1 作者, 专利号: CN102386085A

( 411 ) 一种石墨烯器件及其制造方法, 2012, 第 1 作者, 专利号: CN102376624A

( 412 ) 一种界面优化的锗基半导体器件及其制造方法, 2012, 第 1 作者, 专利号: CN102339736A

( 413 ) 一种基于栅极替代工艺的制造半导体器件的方法, 2012, 第 1 作者, 专利号: CN102339752A

( 414 ) 一种半导体器件及其制造方法, 2011, 第 1 作者, 专利号: CN102299156A

( 415 ) 一种半导体器件及其制造方法, 2011, 第 1 作者, 专利号: CN102299156A

( 416 ) 一种半导体器件及其制造方法, 2011, 专利号: CN102299155A

( 417 ) 一种半导体器件的制造方法, 2011, 专利号: CN102299061A

( 418 ) 一种半导体器件的形成方法及其半导体器件, 2011, 第 2 作者, 专利号: CN102299110A

( 419 ) 半导体结构及其形成方法, 2011, 专利号: CN102237398A

( 420 ) 一种半导体器件的制造方法, 2011, 第 2 作者, 专利号: CN102222616A

( 421 ) 一种半导体器件及其制造方法, 2011, 第 1 作者, 专利号: CN102110689A

( 422 ) 一种半导体器件及其制造方法, 2011, 第 1 作者, 专利号: CN102110689A

( 423 ) 一种制作CMOSFETs器件结构的方法, 2011, 第 2 作者, 专利号: CN102104024A

( 424 ) CMOS器件叠层栅形成方法及其结构, 2011, 第 2 作者, 专利号: CN102074574A

( 425 ) 一种制造半导体器件的方法, 2011, 第 1 作者, 专利号: CN102064133A

( 426 ) 一种石墨烯器件及其制造方法, 2011, 第 3 作者, 专利号: CN102054869A

( 427 ) 一种改善高介电常数栅介质界面特性的方法, 2011, 第 1 作者, 专利号: CN102044442A

( 428 ) 控制阈值电压特性的CMOSFETs器件结构及其制造方法, 2011, 第 1 作者, 专利号: CN101964345A

( 429 ) 控制器件阈值电压的CMOSFETs结构及其制造方法, 2010, 第 1 作者, 专利号: CN101930979A

( 430 ) 调节高k栅介质和金属栅结构pMOSFET器件阈值电压的方法, 2010, 第 1 作者, 专利号: CN101924034A

( 431 ) 高性能半导体器件的形成方法, 2010, 第 2 作者, 专利号: CN101840862A

( 432 ) 抑制高k栅介质/金属栅结构界面层生长的方法, 2010, 第 1 作者, 专利号: CN101783298A

( 433 ) 高温退火处理诱导相转变合成金属性氮化铪薄膜的方法, 2010, 第 1 作者, 专利号: CN101740371A

( 434 ) 互补型金属氧化物半导体晶体管器件及其制作方法, 2010, 第 1 作者, 专利号: CN101740570A

( 435 ) 一种制备金属性金属氮化物薄膜的方法, 2010, 第 2 作者, 专利号: CN101740369A

( 436 ) 用于MOS器件的金属栅极结构及其制作方法, 2009, 第 1 作者, 专利号: CN101599436A

( 437 ) CMOS器件金属栅极及其形成方法, 2009, 第 1 作者, 专利号: CN101494236A

出版信息

   
发表论文
(1) 环栅晶体管制备中SiGe选择性刻蚀技术综述, An Overview of SiGe Selective Etching Technology Used for the Preparation of Gate-All-Around Transistor, 材料导报, 2024, 第 8 作者
(2) Investigation of Trap Evolution of Hf0.5Zr0.5O2 FeFET During Endurance Fatigue by Gate Leakage Current, IEEE Transactions on Electron Devices, 2024, 第 12 作者
(3) Investigation of Charge Trapping Induced Trap Generation in Si FeFET With Ferroelectric Hf0.5Zr0.5O2, IEEE Transactions on Electron Devices, 2024, 第 12 作者
(4) 2.5D/3D硅基光电子集成技术及应用, Silicon Photonic 2.5D/3D Integration Technology and Its Applications, 光通信研究, 2023, 第 6 作者
(5) 微结构硅基光电二极管的近红外响应特性研究, Near-Infrared Response Characteristics of Microstructured Silicon-Based Photodiodes, 电子科技大学学报, 2023, 第 3 作者
(6) Investigation of Endurance Degradation Mechanism of Si FeFET With HfZrO Ferroelectric by an In Situ V-th Measurement, IEEE TRANSACTIONS ON ELECTRON DEVICES, 2023, 第 14 作者
(7) Impact of Saturated Spontaneous Polarization on the Endurance Fatigue of Si FeFET With Metal/Ferroelectric/Interlayer/Si Gate Structure, IEEE TRANSACTIONS ON ELECTRON DEVICES, 2023, 第 10 作者
(8) 面向水平GAA内侧墙模块的干法Si0.7Ge0.3选择性刻蚀研究, Dry Selective Etching of Si0.7Ge0.3for Horizontal GAA Inner Spacer Module, 真空科学与技术学报, 2023, 第 16 作者
(9) 激光退火硅晶圆温度场分布的数值模拟研究, Numerical simulation of temperature field distribution induced by laser annealing in silicon wafer, 激光与红外, 2022, 第 4 作者
(10) Depolarization Field in FeFET Considering Minor Loop Operation and Charge Trapping, IEEE TRANSACTIONS ON ELECTRON DEVICES, 2022, 第 6 作者
(11) Vertical Channel-All-Around (CAA) IGZO FET under 50 nm CD with High Read Current of 32.8 ��A/��m (Vth + 1 V), Well-performed Thermal Stability up to 120 ��� for Low Latency, High-density 2T0C 3D DRAM Application, 2022 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits), 2022, 第 16 作者
(12) Impact of mobility degradation on endurance fatigue of FeFET with TiN/Hf0.5Zr0.5O2/SiOx/Si (MFIS) gate structure, JOURNAL OF APPLIED PHYSICS, 2022, 第 9 作者
(13) Advanced Process and Electron Device Technology, Advanced process and electron device technology, TSINGHUA SCIENCE AND TECHNOLOGY, 2022, 第 24 作者  通讯作者
(14) 全球集成电路技术合作研发的发展现状及其经验启示, Status of Global Research and Development Cooperation in Integrated Circuits and Its Inspiration, 前瞻科技, 2022, 第 1 作者
(15) 硅晶圆中注入10 MeV磷的连续激光退火激活, Continuous laser annealing for activating 10 MeV implanted phosphorus in silicon wafer, 激光与红外, 2022, 第 4 作者
(16) Experimental Extraction and Simulation of Charge Trapping During Endurance of FeFET With TiN/HfZrO/SiO2/Si (MFIS) Gate Structure, IEEE TRANSACTIONS ON ELECTRON DEVICES, 2022, 第 10 作者
(17) The Effect of Interface Traps at the Si/SiO2 Interface on the Transient Negative Capacitance of Ferroelectric FETs, IEEE TRANSACTIONS ON ELECTRON DEVICES, 2021, 第 6 作者
(18) Partially Crystallized Ultrathin Interfaces between GaN and SiNx Grown by Low-Pressure Chemical Vapor Deposition and Interface Editing, ACS APPLIED MATERIALS & INTERFACES, 2021, 第 8 作者
(19) Growth of high-quality epitaxy of GaAs on Si with engineered Ge buffer using MOCVD, JOURNAL OF MATERIALS SCIENCE-MATERIALS IN ELECTRONICS, 2021, 第 10 作者
(20) Quantum Dot With a Diamond-Shaped Channel MOSFET on a Bulk Si Substrate, IEEE TRANSACTIONS ON ELECTRON DEVICES, 2021, 第 12 作者
(21) Fabrication and selective wet etching of Si0.2Ge0.8/Ge multilayer for Si0.2Ge0.8 channel gate-all-around MOSFETs, MATERIALS SCIENCE IN SEMICONDUCTOR PROCESSING, 2021, 第 13 作者
(22) Integration of silicon nitride waveguide in Ge-on-insulator substrates for monolithic solutions in optoelectronics, JOURNAL OF MATERIALS SCIENCE-MATERIALS IN ELECTRONICS, 2021, 第 12 作者
(23) Recovery Behavior of Interface Traps After Negative Bias Temperature Instability Stress in p-FinFETs Featuring Fast Trap Characterization Technique, IEEE TRANSACTIONS ON ELECTRON DEVICES, 2021, 第 14 作者
(24) NiSi/p(+)-Si(n(+)-Si)/n-Si(p-Si) Diodes With Dopant Segregation (DS): p-n or Schottky Junctions?, IEEE TRANSACTIONS ON ELECTRON DEVICES, 2021, 第 9 作者
(25) Suppression and characterization of interface states at low-pressure-chemical-vapor-deposited SiNx/III-nitride heterostructures, APPLIED SURFACE SCIENCE, 2021, 第 12 作者
(26) Endurance Characteristics of Negative Capacitance FinFETs With Negligible Hysteresis, IEEE ELECTRON DEVICE LETTERS, 2021, 第 12 作者
(27) Investigate on the Mechanism of HfO2/Si0.7Ge0.3 Interface Passivation Based on Low-Temperature Ozone Oxidation and Si-Cap Methods, NANOMATERIALS, 2021, 第 11 作者
(28) Experimental Investigation of As Preamorphization Implant on Electrical Property of Ti-Based Silicide Contacts, IEEE TRANSACTIONS ON ELECTRON DEVICES, 2021, 第 15 作者
(29) Optimization of zero-level interlayer dielectric materials for gate-all-around silicon nanowire channel fabrication in a replacement metal gate process, MATERIALS SCIENCE IN SEMICONDUCTOR PROCESSING, 2021, 第 22 作者
(30) Thermal stability issue of ultrathin Ti-based silicide for its application in prospective DRAM peripheral 3D FinFET transistors, JOURNAL OF MATERIALS SCIENCE-MATERIALS IN ELECTRONICS, 2021, 第 11 作者
(31) 硅晶圆中注入4.8MeV磷的激光退火激活, Laser Annealing for Activating 4.8 MeV Implanted Phosphorus in Silicon Wafer, 微纳电子技术, 2021, 第 4 作者
(32) Cryogenic Transport Characteristics of P-Type Gate-All-Around Silicon Nanowire MOSFETs, NANOMATERIALS, 2021, 第 16 作者
(33) Alleviation of Negative-Bias Temperature Instability in Si p-FinFETs With ALD W Gate-Filling Metal by Annealing Process Optimization, IEEE JOURNAL OF THE ELECTRON DEVICES SOCIETY, 2021, 第 15 作者
(34) SiN-based platform toward monolithic integration in photonics and electronics, JOURNAL OF MATERIALS SCIENCE-MATERIALS IN ELECTRONICS, 2021, 第 5 作者
(35) Novel Si/SiGe fin on insulator fabrication on bulk-Si substrate, MATERIALS RESEARCH EXPRESS, 2021, 第 8 作者  通讯作者
(36) Investigation of the Heteroepitaxial Process Optimization of Ge Layers on Si (001) by RPCVD, NANOMATERIALS, 2021, 第 12 作者
(37) SiGe沟道三维器件关键集成技术研究进展, Research progress on key integration technologies of 3D devices with SiGe channel, 微纳电子与智能制造, 2021, 第 3 作者
(38) La induced Si-3 trimer bilayer on the Si(111) surface, PHYSICAL CHEMISTRY CHEMICAL PHYSICS, 2021, 第 4 作者
(39) Revisiting the definition of ferroelectric negative capacitance based on Gibbs free energy, 2021 5TH IEEE ELECTRON DEVICES TECHNOLOGY & MANUFACTURING CONFERENCE (EDTM), 2021, 第 5 作者
(40) Four-Period Vertically Stacked SiGe/Si Channel FinFET Fabrication and Its Electrical Characteristics, NANOMATERIALS, 2021, 第 10 作者  通讯作者
(41) Thermodynamic driving force of transient negative capacitance of ferroelectric capacitors, APPLIED PHYSICS LETTERS, 2021, 第 9 作者
(42) SiC减薄工艺及薄片SiC肖特基二极管的制备, SiC Thinning Process and Fabrication of Thin-Wafer SiC Schottky Diodes, 微纳电子技术, 2020, 第 4 作者
(43) Investigation of Barrier Property of Amorphous Co-Ti Layer as Single Barrier/Liner in Local Co Interconnects, IEEE TRANSACTIONS ON ELECTRON DEVICES, 2020, 第 12 作者
(44) Insights Into the Effect of TiN Thickness Scaling on DC and AC NBTI Characteristics in Replacement Metal Gate pMOSFETs, IEEE TRANSACTIONS ON DEVICE AND MATERIALS RELIABILITY, 2020, 第 16 作者  通讯作者
(45) High crystalline quality of SiGe fin fabrication with Si-rich composition area using replacement fin processing, High crystalline quality of SiGe fin fabrication with Si-rich composition area using replacement fin processing, Chinese Physics B, 2020, 第 8 作者
(46) Study of selective isotropic etching Si1-xGex in process of nanowire transistors, JOURNAL OF MATERIALS SCIENCE-MATERIALS IN ELECTRONICS, 2020, 第 2 作者  通讯作者
(47) Design impact on the performance of Ge PIN photodetectors, JOURNAL OF MATERIALS SCIENCE-MATERIALS IN ELECTRONICS, 2020, 第 9 作者
(48) Design and Simulation of Steep-Slope Silicon Cold Source FETs With Effective Carrier Distribution Model, IEEE TRANSACTIONS ON ELECTRON DEVICES, 2020, 第 8 作者
(49) On the applicability of Gibbs free energy landscape to the definition and understanding of transient negative capacitance in a ferroelectric capacitor, JOURNAL OF PHYSICS D-APPLIED PHYSICS, 2020, 第 6 作者
(50) Comparative Study on the Energy Profile of NBTI-Related Defects in Si and Ferroelectric p-FinFETs, 2020 IEEE INTERNATIONAL RELIABILITY PHYSICS SYMPOSIUM (IRPS), 2020, 第 8 作者
(51) Impact of Charges at Ferroelectric/Interlayer Interface on Depolarization Field of Ferroelectric FET With Metal/Ferroelectric/Interlayer/Si Gate-Stack, IEEE TRANSACTIONS ON ELECTRON DEVICES, 2020, 第 12 作者
(52) Investigation of Ultrathin Ni Germanosilicide for Advanced pMOS Contact Metallization, IEEE TRANSACTIONS ON ELECTRON DEVICES, 2020, 第 13 作者
(53) Investigation on thermal stability of Si0.7Ge0.3/Si stacked multilayer for gate-all-around MOSFETS, SEMICONDUCTOR SCIENCE AND TECHNOLOGY, 2020, 第 16 作者
(54) The Study of Reactive Ion Etching of Heavily Doped Polysilicon Based on HBr/O-2/He Plasmas for Thermopile Devices, MATERIALS, 2020, 第 14 作者
(55) Fabrication of Low Cost and Low Temperature Poly-Silicon Nanowire Sensor Arrays for Monolithic Three-Dimensional Integrated Circuits Applications, NANOMATERIALS, 2020, 第 15 作者
(56) Comparative study on NBTI kinetics in Si p-FinFETs with B2H6-based and SiH4-based atomic layer deposition tungsten (ALD W) filling metal, MICROELECTRONICS RELIABILITY, 2020, 第 17 作者  通讯作者
(57) 基于吉布斯自由能分布来定义和理解瞬态负电容的适用性, Journal of Physics D: Applied Physics, 2020, 第 1 作者
(58) Degradation Mechanism of Short Channel p-FinFETs under Hot Carrier Stress and Constant Voltage Stress, 2020 IEEE INTERNATIONAL SYMPOSIUM ON THE PHYSICAL AND FAILURE ANALYSIS OF INTEGRATED CIRCUITS (IPFA), 2020, 第 9 作者  通讯作者
(59) Investigation on the formation technique of SiGe Fin for the high mobility channel FinFET device, JOURNAL OF MATERIALS SCIENCE-MATERIALS IN ELECTRONICS, 2020, 第 18 作者  通讯作者
(60) SiNx films and membranes for photonic and MEMS applications, JOURNAL OF MATERIALS SCIENCE-MATERIALS IN ELECTRONICS, 2020, 第 14 作者
(61) Specific Contact Resistivity Improvement by As Preamorphization Implantation for Ti-Based Ohmic Contacts on n(+)-Si, IEEE TRANSACTIONS ON ELECTRON DEVICES, 2020, 第 10 作者
(62) Influence of an ALD TiN capping layer on the PBTI characteristics of n-FinFET with ALD HfO2/TiN-capping/TiAl gate stacks, Influence of an ALD TiN capping layer on the PBTI characteristics of n-FinFET with ALD HfO2/TiN-capping/TiAl gate stacks, SCIENCE CHINA-INFORMATION SCIENCES, 2020, 第 14 作者  通讯作者
(63) Understanding Frequency Dependence of Trap Generation Under AC Negative Bias Temperature Instability Stress in Si p-FinFETs, IEEE ELECTRON DEVICE LETTERS, 2020, 第 16 作者
(64) Influence of interlayer GeOx thickness on band alignment of Al2O3/GeOx/Ge structure, MATERIALS SCIENCE IN SEMICONDUCTOR PROCESSING, 2020, 第 8 作者
(65) O-2 plasma treated biosensor for enhancing detection sensitivity of sulfadiazine in a high-K HfO2 coated silicon nanowire array, SENSORS AND ACTUATORS B-CHEMICAL, 2020, 第 14 作者
(66) Experimental investigation of fundamental film properties for Co1-xTix alloying films with different compositions (0 <= x <= 1), JOURNAL OF MATERIALS SCIENCE-MATERIALS IN ELECTRONICS, 2020, 第 10 作者
(67) Effects of Ni Film Thickness on the Properties of Ni-Based Silicides Formed on Both Highly Doped n- and p-Si Substrate, ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY, 2020, 第 12 作者
(68) Selective wet etching in fabricating SiGe nanowires with TMAH solution for gate-all-around MOSFETs, JOURNAL OF MATERIALS SCIENCE-MATERIALS IN ELECTRONICS, 2020, 第 11 作者
(69) Impact of Ge pre-amorphization implantation on Co/Co-Ti/n(+)-Si contacts in advanced Co interconnects, JAPANESE JOURNAL OF APPLIED PHYSICS, 2020, 第 15 作者
(70) Experimental study of the ultrathin oxides on SiGe alloy formed by low-temperature ozone oxidation, MATERIALS SCIENCE IN SEMICONDUCTOR PROCESSING, 2020, 第 12 作者
(71) Study of Silicon Nitride Inner Spacer Formation in Process of Gate-all-around Nano-Transistors, NANOMATERIALS, 2020, 第 26 作者  通讯作者
(72) Understanding the mechanisms impacting the interface states of ozone-treated high-k/SiGe interfaces, SEMICONDUCTOR SCIENCE AND TECHNOLOGY, 2020, 第 9 作者  通讯作者
(73) Fabrication technique of the Si0.5Ge0.5 Fin for the high mobility channel FinFET device, SEMICONDUCTOR SCIENCE AND TECHNOLOGY, 2020, 第 17 作者  通讯作者
(74) Single-event-transient effects in silicon-on-insulator ferroelectric double-gate vertical tunneling field effect transistors, Single-event-transient effects in silicon-on-insulator ferroelectric double-gate vertical tunneling field effect transistors, SCIENCE CHINA-INFORMATION SCIENCES, 2020, 第 9 作者
(75) A Novel Dry Selective Isotropic Atomic Layer Etching of SiGe for Manufacturing Vertical Nanowire Array with Diameter Less than 20 nm, MATERIALS, 2020, 第 25 作者  通讯作者
(76) Impact of Electron trapping on Energy Distribution Characterization of NBTI-Related Defects for Si p-FinFETs, 2020 IEEE INTERNATIONAL SYMPOSIUM ON THE PHYSICAL AND FAILURE ANALYSIS OF INTEGRATED CIRCUITS (IPFA), 2020, 第 9 作者  通讯作者
(77) Growth of SiGe layers in source and drain regions for 10 nm node complementary metal-oxide semiconductor (CMOS), JOURNAL OF MATERIALS SCIENCE-MATERIALS IN ELECTRONICS, 2020, 第 15 作者
(78) Electron mobility in silicon nanowires using nonlinear surface roughness scattering model, JAPANESE JOURNAL OF APPLIED PHYSICS, 2020, 第 6 作者
(79) Investigation of NiGe Films Formed on Both n(+)- and p(+)-Ge with P and B Ion Implantation before Germanidation, ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY, 2019, 第 9 作者
(80) COMPREHENSIVE STUDY OF INTERFACIAL CHARGES IN THE GEO(X)/AL(2)O(3) GATE STACK OF GE BY OZONE OXIDATION, 2019 CHINA SEMICONDUCTOR TECHNOLOGY INTERNATIONAL CONFERENCE (CSTIC), 2019, 第 6 作者
(81) A novel three-layer graded SiGe strain relaxed buffer for the high crystal quality and strained Si0.5Ge0.5 layer epitaxial grown, JOURNAL OF MATERIALS SCIENCE-MATERIALS IN ELECTRONICS, 2019, 第 15 作者  通讯作者
(82) Revealing the Positive Bias Temperature Instability in Normally-OFF AlGaN/GaN MIS-HFETs by Constant-Capacitance DLTS, 2019 31ST INTERNATIONAL SYMPOSIUM ON POWER SEMICONDUCTOR DEVICES AND ICS (ISPSD), 2019, 第 11 作者
(83) Identification of a suitable passivation route for high-k/SiGe interface based on ozone oxidation, APPLIED SURFACE SCIENCE, 2019, 第 10 作者  通讯作者
(84) Monolithic integration of E/D-mode GaN MIS-HEMTs on ultrathin-barrier AlGaN/GaN heterostructure on Si substrates, APPLIED PHYSICS EXPRESS, 2019, 第 9 作者
(85) A High-Performance Source-Pocket Tunnel Field-Effect Transistor, 2019 CHINA SEMICONDUCTOR TECHNOLOGY INTERNATIONAL CONFERENCE (CSTIC), 2019, 第 17 作者
(86) Experimental Investigation of Remote Coulomb Scattering on Mobility Degradation of Ge pMOSFET by Various PDA Ambiences, IEEE TRANSACTIONS ON ELECTRON DEVICES, 2019, 第 11 作者  通讯作者
(87) High crystal quality strained Si0.5Ge0.5 layer with a thickness of up to 50���nm grown on the three-layer SiGe strain relaxed buffer, MATERIALS SCIENCE IN SEMICONDUCTOR PROCESSING, 2019, 第 15 作者
(88) Comprehensive Study and Design of High-k/SiGe Gate Stacks with Interface-Engineering by Ozone Oxidation, ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY, 2019, 第 10 作者
(89) Novel 10-nm Gate Length MoS2 Transistor Fabricated on Si Fin Substrate, IEEE JOURNAL OF THE ELECTRON DEVICES SOCIETY, 2019, 第 11 作者
(90) Process optimization of the Si0.7Ge0.3 Fin Formation for the STI first scheme, SEMICONDUCTOR SCIENCE AND TECHNOLOGY, 2019, 第 11 作者
(91) Exploration of the impact of interface states density on the specific contact resistivity in TiSix/n(+)-Si Ohmic contacts through high-low frequency method, JAPANESE JOURNAL OF APPLIED PHYSICS, 2019, 第 8 作者
(92) Evaluation of hole mobility degradation by remote Coulomb scattering in Ge pMOSFETs, SEMICONDUCTOR SCIENCE AND TECHNOLOGY, 2019, 第 12 作者
(93) FinFET With Improved Subthreshold Swing and Drain Current Using 3-nm Ferroelectric Hf0.5Zr0.5O2, IEEE ELECTRON DEVICE LETTERS, 2019, 第 13 作者
(94) Impacts of Ge Preamorphization Implantation and Si Capping on the Specific Contact Resistivity of Ni(Pt) SiGe/p(+)-SiGe Contacts, IEEE TRANSACTIONS ON ELECTRON DEVICES, 2019, 第 7 作者
(95) NH3等离子体钝化对Al2O3/SiGe界面的影响, Effects of NH3 Plasma Passivation on the Interface of Al2O3/SiGe, 半导体技术, 2019, 第 7 作者
(96) Capture and emission mechanisms of defect states at interface between nitride semiconductor and gate oxides in GaN-based metal-oxide-semiconductor power transistors, JOURNAL OF APPLIED PHYSICS, 2019, 第 13 作者
(97) Low atomic number silicon nitride films for transmission electron microscopy, MATERIALS SCIENCE IN SEMICONDUCTOR PROCESSING, 2019, 第 7 作者
(98) Co-sputtering Co-Ti alloy as a single barrier/liner for Co interconnects and thermal stability enhancement using TiN metal capping, JOURNAL OF MATERIALS SCIENCE-MATERIALS IN ELECTRONICS, 2019, 第 9 作者
(99) Comprehensive investigation of the interfacial charges and dipole in GeOx/AL(2)O(3) gate stacks of Ge MOS capacitor by postdeposition annealing, JAPANESE JOURNAL OF APPLIED PHYSICS, 2018, 第 11 作者  通讯作者
(100) Physical Insights on Quantum Confinement and Carrier Mobility in Si, Si-0.45, Ge-0.55, Ge Gate-All-Around NSFET for 5nm Technology Node, IEEE JOURNAL OF THE ELECTRON DEVICES SOCIETY, 2018, 第 11 作者
(101) Impact of Ge Preamorphization Implantation on Both the Formation of Ultrathin TiSix and the Specific Contact Resistivity in TiSix/n-Si Contacts, IEEE TRANSACTIONS ON ELECTRON DEVICES, 2018, 第 8 作者
(102) Improved Ti germanosilicidation by Ge pre-amorphization implantation (PAI) for advanced contact technologies, MICROELECTRONIC ENGINEERING, 2018, 第 6 作者
(103) 接触电阻技术研究新进展, Latest Development of Contact Resistivity Technology, 微电子学, 2018, 第 5 作者
(104) Fabrication Technique for pMOSFET poly-Si/TaN/TiN/HfSiAlON Gate Stack, ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY, 2018, 第 3 作者  通讯作者
(105) Insight into the Near-Conduction Band States at the Crystallized Interface between GaN and SiNx Grown by Low-Pressure Chemical Vapor Deposition, ACS APPLIED MATERIALS & INTERFACES, 2018, 第 9 作者
(106) Identification of interfacial defects in a Ge gate stack based on ozone passivation, SEMICONDUCTOR SCIENCE AND TECHNOLOGY, 2018, 第 11 作者  通讯作者
(107) Switching of Exchange-Coupled Perpendicularly Magnetized Layers Under Spin-Orbit Torque, IEEE TRANSACTIONS ON MAGNETICS, 2018, 第 5 作者
(108) Enhancing the thermal stability of NiGe by prior-germanidation fluorine implantation into Ge substrate, JAPANESE JOURNAL OF APPLIED PHYSICS, 2018, 第 7 作者
(109) Physical Mechanism Underlying the Time Exponent Shift in the Ultra-fast NBTI of High-k/Metal gated p-CMOSFETs, 2018 25TH IEEE INTERNATIONAL SYMPOSIUM ON THE PHYSICAL AND FAILURE ANALYSIS OF INTEGRATED CIRCUITS (IPFA), 2018, 第 10 作者
(110) Key technologies for dual high-k and dual metal gate integration, Key technologies for dual high-k and dual metal gate integration, 中国物理B:英文版, 2018, 第 3 作者
(111) Si Nanowire Biosensors Using a FinFET Fabrication Process for Real Time Monitoring Cellular Ion Actitivies, 2018 IEEE INTERNATIONAL ELECTRON DEVICES MEETING (IEDM), 2018, 第 16 作者
(112) Impact of ALD TiN Capping Layer on Interface Trap and Channel Hot Carrier Reliability of HKMG nMOSFETs, IEEE ELECTRON DEVICE LETTERS, 2018, 第 10 作者  通讯作者
(113) Improving sidewall roughness by combined RIE-Bosch process, MATERIALS SCIENCE IN SEMICONDUCTOR PROCESSING, 2018, 第 7 作者
(114) Understanding dipole formation at dielectric/dielectric hetero-interface, APPLIED PHYSICS LETTERS, 2018, 第 12 作者
(115) Novel GAA Si Nanowire p-MOSFETs With Excellent Short-Channel Effect Immunity via an Advanced Forming Process, IEEE ELECTRON DEVICE LETTERS, 2018, 第 14 作者
(116) Key technologies for dual high-k and dual metal gate integration, Key technologies for dual high-k and dual metal gate integration, Chinese Physics B, 2018, 第 3 作者
(117) Impact of Ge pre-amorphization implantation on forming ultrathin TiGex on both n- and p-Ge substrate, JAPANESE JOURNAL OF APPLIED PHYSICS, 2018, 第 8 作者
(118) Dry Etching of Metal Inserted Poly-Si Stack for Dual High-k and Dual Metal Gate Integration, ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY, 2018, 第 3 作者
(119) 22纳米集成电路核心工艺技术及应用, 中国科技成果, 2017, 第 7 作者
(120) Crystallization behaviors of ultrathin Al-doped HfO2 amorphous films grown by atomic layer deposition, CHIN. PHYS. B, 2017, 第 5 作者
(121) Hole mobility degradation by remote Coulomb scattering and charge distribution in Al2O3/GeOx gate stacks in bulk Ge pMOSFET with GeOx grown by ozone oxidation, JOURNAL OF PHYSICS D-APPLIED PHYSICS, 2017, 第 8 作者
(122) Angle-resolved x-ray photoelectron spectroscopy study of GeO growth by plasma post-oxidation, CHINESE PHYSICS B, 2017, 第 1 作者
(123) Investigation of Thermal Atomic Layer Deposited TaAlC with Low Effective Work-Function on HfO2 Dielectric Using TaCl5 and TEA as Precursors, ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY, 2017, 第 7 作者
(124) Angle-resolved x-ray photoelectron spectroscopy study of GeOx growth by plasma post-oxidation, Angle-resolved x-ray photoelectron spectroscopy study of GeO_x growth by plasma post-oxidation, Chinese Physics B, 2017, 第 6 作者
(125) On the manifestation ofGe Pre-amorphization Implantation (PAI) in forming ultrathin TiSix for Ti direct contact on Si in sub-16/14 nm Complementary Metal-Oxide-Semiconductor (CMOS) technology nodes, ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY, 2017, 第 8 作者
(126) Experimental estimation of charge neutrality level of SiO2, APPLIED SURFACE SCIENCE, 2017, 第 5 作者
(127) Stress-induced leakage current characteristics of PMOS fabricated by a new multi-deposition multi-annealing technique with full gate last process, Stress-induced leakage current characteristics of PMOS fabricated by a new multi-deposition multi-annealing technique with full gate last process, CHINESE PHYSICS B, 2017, 第 7 作者  通讯作者
(128) Mobility Degradation by Remote Coulomb Scattering and Distribution of Charge and Dipole in Al2O3/GeOx Gate Stacks of Ge Based MOSFET, 2017, 第 5 作者
(129) Experimental Investigation on Growth Mechanism of GeOx Layer Formed by Plasma Post Oxidation Based on Angle Resolved X-ray Photoelectron Spectroscopy, 2017, 第 5 作者
(130) Physically Based Evaluation of Effect of Buried Oxide on Surface Roughness Scattering Limited Hole Mobility in Ultrathin GeOI MOSFET, IEEE TRANSACTRIONS ON ELELCTRON DIVECES, 2017, 第 5 作者
(131) A Modified Scheme to Reduce the Specific Contact Resistivity of NiSi/Si Contacts by Means of Dopant Segregation Technique, ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY, 2017, 第 7 作者
(132) Physically Based Evaluation of Effect of Buried Oxide on Surface Roughness Scattering Limited Hole Mobility in Ultrathin GeOI MOSFETs, IEEE TRANSACTIONS ON ELECTRON DEVICES, 2017, 第 10 作者  通讯作者
(133) Crystallization behaviors of ultrathin Al-doped HfO2 amorphous films grown by atomic layer deposition, Crystallization behaviors of ultrathin Al-doped HfO_2 amorphous films grown by atomic layer deposition, Chinese Physics B, 2017, 第 5 作者  通讯作者
(134) Effects of Annealing Ambient on Interface Charge and Dipole in GeOx/Al2O3 Gate Stacks of Ge Based MOSCAP, 2017, 第 2 作者
(135) Junction Control by Carbon and Phosphorus Co-Implantation in Pre-Amorphized Germanium, ECS JOURNAL OF SOLID STATE SCIENCE AND TEHCHNOLOGY, 2016, 第 6 作者
(136) Experimental investigation on oxidation kinetics of germanium by ozone, APPLIED SURFACE SCIENCE, 2016, 第 4 作者
(137) Series resistance effect on time zero dielectrics breakdown characteristics of MOSCAP with ultra-thin EOT high-k/metal gate stacks, JOURNAL OF SEMICONDUCTORS, 2016, 第 4 作者  通讯作者
(138) Growth mechanism of atomic-layer-deposited TiAlC metal gate based on TiCl4 and TMA precursors, CHIN. PHYS. B, 2016, 第 3 作者
(139) 适于16纳米及以下的器件和电路的集成工艺基础研究, Basic Research of Devices and Circuits Integrated Process for 16 nm Technology Node and Below, 科技创新导报, 2016, 第 4 作者
(140) Electrical Properties of Ultrathin Hf-Ti-O Higher k Gate Dielectric Films and Their Application in ETSOI MOSFET, NANOSCALE RESEARCH LETTERS, 2016, 第 8 作者
(141) Investigation of spatial charge distribution and electrical dipole in atomic layer deposited Al2O3 on 4H-SiC, JOURNAL OF PHYSICS D-APPLIED PHYSICS, 2016, 第 4 作者  通讯作者
(142) Accurate lifetime prediction for channel hot carrier stress on sub-1 nm equivalent oxide thickness HK/MG nMOSFET with thin titanium nitride capping layer, MICROELECTRONICS RELIABILITY, 2016, 第 3 作者  通讯作者
(143) Study on influences of TiN capping layer on time-dependent dielectric breakdown characteristic of ultra-thin EOT high-kappa metal gate NMOSFET with kMC TDDB simulations, CHINESE PHYSICS B, 2016, 第 7 作者  通讯作者
(144) Thermal atomic layer deposition of TaAlC with TaCl5 and TMA as precursors, ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY, 2016, 第 6 作者
(145) Temperature-and voltage-dependent trap generation model in high-kappa metal gate MOS device with percolation simulation, CHINESE PHYSICS B, 2016, 第 4 作者  通讯作者
(146) Study of SiGe selective epitaxial process integration with high-k and metal gate for 16/14 nm nodes FinFET technology, MICROELECTRONIC ENGINEERING, 2016, 第 12 作者
(147) Reduction of NiGe/n-and p-Ge Specific Contact Resistivity by Enhanced Dopant Segregation in the Presence of Carbon During Nickel Germanidation, IEEE TRANSACTIONS ON ELECTRON DEVICES, 2016, 第 10 作者
(148) Atomic layer deposition assisted pattern transfer technology for ultra-thin block copolymer films, THIN SOLID FILMS, 2016, 第 7 作者
(149) Investigation of thermal atomic layer deposited TiAlX (X = N or C) film as metal gate, SOLID-STATE ELECTRONICS, 2016, 第 8 作者
(150) Remote interfacial dipole scattering and electron mobility degradation in Ge field-effect transistors with GeO x /Al2O3 gate dielectrics, JOURNAL OF PHYSICS D-APPLIED PHYSICS, 2016, 第 4 作者  通讯作者
(151) On the Manipulation of Phosphorus Diffusion as Well as the Reduction of Specific Contact Resistivity in Ge by Carbon Co-Doping, ECS TRANSACTIONS, 2016, 第 9 作者
(152) Dependence of electrostatic potential distribution of Al 2 O 3 /Ge structure on Al 2 O 3 thickness, SURFACE SCIENCE, 2016, 第 3 作者  通讯作者
(153) Growth mechanism of atomic-layer-deposited TiAlC metal gatebased on TiCl4 and TMA precursors, Growth mechanism of atomic-layer-deposited TiAlC metal gate based on TiCl_4 and TMA precursors, Chinese Physics B, 2016, 第 5 作者
(154) Influence of ultra-thin TiN thickness (1.4 nm and 2.4 nm) on positive bias temperature instability (PBTI) of high- k /metal gate nMOSFETs with gate-last process, CHINESE PHYSICS B, 2015, 第 9 作者
(155) Influence of multi-deposition multi-annealing on time-dependent dielectric breakdown characteristics of PMOS with high-k/metal gate last process, Influence of multi-deposition multi-annealing on time-dependent dielectric breakdown characteristics of PMOS with high-k/metal gate last process, Chinese Physics B, 2015, 第 8 作者  通讯作者
(156) Planar Bulk MOSFETs With Self-Aligned Pocket Well to Improve Short-Channel Effects and Enhance Device Performance, IEEE TRANSACTIONS ON ELECTRON DEVICES, 2015, 第 31 作者
(157) Investigation of TiAlC by Atomic Layer Deposition as N Type Work Function Metal for FinFET, ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY, 2015, 第 9 作者
(158) 高k栅介质/金属栅全后栅结构NMOSFET器件的PBTI特性表征, JOURNALOFSEMICONDUCTORS, 2015, 第 1 作者  通讯作者
(159) Energy distribution extraction of negative charges responsible for positive bias temperature instability, Energy distribution extraction of negative charges responsible for positive bias temperature instability, Chinese Physics B, 2015, 第 3 作者
(160) Influence of ultra-thin TiN thickness (1.4 nm and 2.4 nm) on positive bias temperature instability (PBTI) of high-k/metal gate nMOSFETs with gate-last process, Influence of ultra-thin TiN thickness (1.4 nm and 2.4 nm) on positive bias temperature instability (PBTI) of high-k/metal gate nMOSFETs with gate-last process, Chinese Physics B, 2015, 第 9 作者
(161) PBTI的负电荷能量分布提取, Chinese Physics B, 2015, 第 1 作者  通讯作者
(162) 超薄TiN厚度对后栅工艺MOS器件的PBTI特性影响, Chinese Physics B, 2015, 第 1 作者  通讯作者
(163) Electric dipole formation at high-k dielectric/SiO_2 interface, JOURNAL OF SEMICONDUCTORS, 2015, 第 4 作者
(164) Characterization of positive bias temperature instability of NMOSFET with high-k/metal gate last process, JOURNAL OF SEMICONDUCTORS, 2015, 第 3 作者
(165) Investigation on the dominant key to achieve superior Ge surface passivation by GeOx based on the ozone oxidation, APPLIED SURFACE SCIENCE, 2015, 第 3 作者  通讯作者
(166) Variation of Schottky barrier height induced by dopant segregation monitored by contact resistivity measurements, MICROELECTRONIC ENGINEERING, 2014, 第 6 作者
(167) 帽层TiN、TaN厚度的工艺条件对高k栅介质/金属栅结构MOSCAP的有效功函数的影响, Journal of Semiconductors, 2014, 第 1 作者
(168) 通过TiN/TaN堆叠厚度调制高k栅介质/金属栅结构nMOSCAP有效功函数的方法, Journal of Semiconductors, 2014, 第 1 作者
(169) A modified scheme to tune the Schottky Barrier Height of NiSi by means of dopant segregation technique, VACUUM, 2014, 第 5 作者
(170) The effects of process condition of Top-TiN and TaN thickness on the effective work function of MOSCAP with high-k/metal gate stacks, JOURNAL OF SEMICONDUCTORS, 2014, 第 3 作者
(171) Mitigation of reverse short channel effect with multilayer TiN Ti TiN metal gates in gate last Pmosfets, IEEE ELECTRON DEVICE LETTERS, 2014, 第 31 作者
(172) Analysis of flatband voltage shift of metal/high-k/SiO2/Si stack based on energy band alignment of entire gate stack, Analysis of flatband voltage shift of metal/high-k/SiO_2/Si stack based on energy band alignment of entire gate stack, Chinese Physics B, 2014, 第 5 作者
(173) Interaction of Gd and N incorporation on the band structure and oxygen vacancies of HfO2 gate dielectric films, PHYSICA STATUS SOLIDI B-BASIC SOLID STATE PHYSICS, 2014, 第 10 作者
(174) Effects of carbon pre-silicidation implant into Si substrate on NiSi, MICROELECTRONIC ENGINEERING, 2014, 第 6 作者
(175) An effective work-function tuning method of nMOSCAP with high-k/metal gate by TiN/TaN double-layer stack thickness, JOURNAL OF SEMICONDUCTORS, 2014, 第 3 作者
(176) Epitaxial growth and electrical properties of ultrathin La2Hf2O7 high-k gate dielectric films, APPLIED SURFACE SCIENCE, 2013, 第 9 作者
(177) Effects of charge and dipole on flatband voltage in an MOS device with a Gd-doped HfO2 dielectric, Effects of charge and dipole on flatband voltage in an MOS device with a Gd-doped HfO_2 dielectric, Chinese Physics B, 2013, 第 4 作者
(178) Epitaxial growth and characterization of Gd2O3-doped HfO2 film on Ge (001) substrates with zero interface layer, JOURNAL OF RARE EARTHS, 2013, 第 8 作者
(179) Effect of low temperature annealing on the electrical properties of an MOS capacitor with a HfO_2 dielectric and a TiN metal gate, JOURNAL OF SEMICONDUCTORS, 2013, 第 5 作者
(180) A possible origin of core-level shift in SiO2/Si stacks, APPLIED PHYSICS LETTERS, 2013, 第 3 作者
(181) Physical understanding of different drain-induced-barrier-lowering variations in high-k/metal gate n-channel metal-oxide-semiconductor-fieldeffect-transistors induced by charge trapping under normal and reverse channel hot carrier stresses, APPLIED PHYSICS LETTERS, 2013, 第 3 作者  通讯作者
(182) A possible origin of core-level shifts in SiO2/Si stacks, Appl. Phys. Lett., 2013, 第 1 作者  通讯作者
(183) Hierarchical Mo-decorated Co3O4 nanowire arrays on Ni foam substrates for advanced electrochemical capacitors, JOURNAL OF MATERIALS CHEMISTRY A, 2013, 第 3 作者
(184) Reexamination of band offset transitivity employing oxide heterojunctions, APPLIED PHYSICS LETTERS, 2013, 第 3 作者  通讯作者
(185) Band alignment of TiN/HfO2 interface of TiN/HfO2/SiO2/Si stack, APPLIED PHYSICS LETTERS, 2012, 第 3 作者  通讯作者
(186) Band alignment of HfO2 on SiO2/Si structure, APPLIED PHYSICS LETTERS, 2012, 第 3 作者  通讯作者
(187) Atomic configuration of the interface between epitaxial Gd doped HfO2 high k thin films and Ge (001) substrates, JOURNALOFAPPLIEDPHYSICS, 2012, 第 10 作者
(188) Band structure and electronic characteristics of cubic La2O3 gate dielectrics epitaxially grown on InP substrates, APPLIED PHYSICS LETTERS, 2011, 第 9 作者
(189) Electric Dipole at High-k/SiO2 Interface and Physical Origin by Dielectric Contact Induced Gap States, JAPANESE JOURNAL OF APPLIED PHYSICS, 2011, 第 3 作者
(190) Effects of rapid thermal annealing on structure and electrical properties of Gd-doped HfO2 high k film, APPLIED PHYSICS LETTERS, 2011, 第 6 作者
(191) Antireflection properties and solar cell application of silicon nanostructures, JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B, 2011, 第 11 作者
(192) 高k栅介质/金属栅结构MOS器件中的偶极子物理机制, Applied Physics Letters, 2010, 第 1 作者  通讯作者
(193) 高k栅介质/金属栅结构CMOS器件的等效氧化层厚度控制技术, The Technology of EOT Control in High k Dielectric/ metal Gate Electrode CMOS Device, 电子工业专用设备, 2010, 第 2 作者
(194) Physical origin of dipole formation at high-k/SiO2 interface in metal-oxide-semiconductor device with high-k/metal gate structure, APPLIED PHYSICS LETTERS, 2010, 第 3 作者  通讯作者
(195) MOS器件中高k栅介质/SiO2界面偶极子对平带电压的影响, APPLIEDPHYSICSLETTERS, 2010, 第 1 作者  通讯作者
(196) (NH4)2S treatment of the Si (100) surface and its effects on Al/Si Schottky barrier heights, (NH4)2S treatment of the Si (100) surface and its effects on Al/Si Schottky barrier heights, 半导体学报, 2009, 第 2 作者
(197) Al扩散诱导的正向平带电压偏移对高k栅介质结构pMOSFET器件的影响, Journal of Applied Physics, 2009, 第 1 作者
(198) (NH4)2S treatment of the Si (100) surface and its effects on Al/Si Schottky barrier heights, (NH4)2S treatment of the Si (100) surface and its effects on Al/Si Schottky barrier heights, 半导体学报, 2009, 第 2 作者
(199) TaCx、HfCx/HfO2结构栅堆叠中金属碳化物诱导的负向平带电压偏移研究, 2008, 第 1 作者
(200) 含Al高k栅介质堆栈中平带电压的异常偏移特性研究, Applied Physics Letters, 2008, 第 1 作者
(201) Study on characteristics of thermally stable HfLaON gate dielectric with TaN metal gate, APPLIED PHYSICS LETTERS, 2008, 第 3 作者
(202) HfLaON/TaN结构MOS器件的热稳定性研究, Applied Physics Letters, 2008, 第 1 作者
(203) 利用快速热退火辅助MOCVD方法制备HfN金属栅电极研究, Microelectronics Engineering, 2008, 第 1 作者
发表著作
Metal Gate Electrode for Advanced CMOS Application, Wiley, 2013-07, 第 1 作者

科研活动

   
科研项目
( 1 ) 3-1nm集成电路新器件与先导工艺, 负责人, 中国科学院计划, 2019-10--2020-10
( 2 ) 高迁移率沟道纳米线的关键共性技术研究, 负责人, 国家任务, 2017-01--2020-12
( 3 ) 新型GaN电子器件低界面态介质生长系统, 负责人, 国家任务, 2016-01--2018-12
( 4 ) 基于臭氧技术的Ge基高介电常数栅介质MOS器件的基础研究:界面特性、栅电荷分布及起源、迁移率散射机制, 负责人, 国家任务, 2016-01--2017-12
( 5 ) 20-14nm技术知识产权与研发联盟技术创新战略研究, 负责人, 国家任务, 2016-01--2018-12
( 6 ) 新型GaN电子器件低界面态介质生长系统, 负责人, 国家任务, 2016-01--2020-12
( 7 ) 14纳米以下技术代硅基新型器件及关键工艺技术研究, 负责人, 国家任务, 2015-01--2017-12
( 8 ) 32-22nm栅刻蚀机产品研发及产业化, 负责人, 国家任务, 2011-01--2016-12