基本信息

叶笑春  研究员、博导  中国科学院计算技术研究所高通量计算机研究中心主任
电子邮件: yexiaochun@ict.ac.cn
通信地址: 北京市海淀区北清路中关村环保科技园中科院计算所
邮政编码: 100095

研究领域

众核处理器体系结构、高性能计算、高通量计算

招生信息

   
招生专业
081201-计算机系统结构
081203-计算机应用技术
081202-计算机软件与理论
招生方向
众核处理器结构,高通量并行计算
大数据处理

教育背景

2004-09--2010-07   中国科学院计算技术研究所   工学博士
学历

研究生

学位

工学博士

工作经历


工作简历
2020-10~现在, 中国科学院计算技术研究所, 研究员
2014-10~2020-09,中国科学院计算技术研究所, 副研究员
2010-07~2014-09,中国科学院计算技术研究所, 助理研究员

专利与奖励

   
奖励信息
(1) 中国产学研合作创新成果二等奖, 二等奖, 省级, 2020
(2) 北京市科学技术奖, 一等奖, 省级, 2020
(3) 吴文俊人工智能技术发明奖, 三等奖, 其他, 2018
(4) 北京市科学技术奖, 二等奖, 省级, 2013
专利成果
[1] 严明玉, 李涵, 叶笑春, 曹华伟, 范东睿. 一种面向图神经网络应用的片上存储系统及方法. CN: [[[CN111695685A]]], [[["2020-09-22"]]].
[2] 严明玉, 李涵, 叶笑春, 曹华伟, 范东睿. 一种面向图神经网络应用的任务调度执行系统及方法. CN: [[[CN111694643A]]], [[["2020-09-22"]]].
[3] 王中旗, 黄俊英, 张志敏, 叶笑春, 范东睿. 板间通信接口系统. CN202210764461.0, 2022-10-04.
[4] 王中旗, 黄俊英, 张志敏, 叶笑春, 范东睿. 光纤通信转接系统. CN202210764499.8, 2022-06-15.
[5] 王中旗, 张志轩, 黄俊英, 张志敏, 叶笑春, 范东睿. 针对低温多芯片计算系统的模拟方法及其系统. CN202210880357.8, 2022-06-12.
[6] 王中旗, 黄俊英, 张志敏, 叶笑春, 范东睿. 跨平台光纤传输系统. CN202210764423.5, 2022-06-08.
[7] 刘艳欢, 李文明, 安述倩, 吴海彬, 冯煜晶, 吴萌, 叶笑春, 范东睿. 一种数据传输装置及传输方法. CN: CN111459856B, 2022-02-18.
[8] 范志华, 吴欣欣, 李文明, 安学军, 叶笑春, 范东睿. 一种卷积神经网络的加速方法及装置. CN: CN113919477A, 2022-01-11.
[9] 范志华, 秦宏, 吴欣欣, 李文明, 安学军, 叶笑春, 范东睿. 一种ECDSA算法执行系统及方法. CN: CN113505383A, 2021-10-15.
[10] 王鹏超, 李晓霖, 郝沁汾, 叶笑春, 范东睿. 一种基于系统总线的三维芯片及其三维化方法. CN: CN113451260A, 2021-09-28.
[11] 李晓霖, 郝沁汾, 叶笑春, 范东睿. 基于先进封装技术的多CPU共封架构下高速缓存的动态扩容方法及系统. CN: CN113392604A, 2021-09-14.
[12] 李文明, 安述倩, 吴萌, 吴海彬, 刘艳欢, 叶笑春, 范东睿. 基于阻变存储器的通用区块链应用处理加速方法及系统. CN: CN110890120B, 2021-08-31.
[13] 刘天雨, 吴欣欣, 范志华, 李文明, 叶笑春, 范东睿. 一种基于数据流架构的深度可分离卷积融合方法及系统. CN: CN113313251A, 2021-08-27.
[14] 刘天雨, 吴欣欣, 李文明, 叶笑春, 范东睿. 基于数据流架构的稀疏神经网络的运算方法. CN: CN113313247A, 2021-08-27.
[15] 范志华, 吴欣欣, 王珎, 李文明, 安学军, 叶笑春, 范东睿. 基于数据流结构的低精度神经网络计算装置及加速方法. CN: CN113298236A, 2021-08-24.
[16] 欧焱, 范志华, 吴欣欣, 李文明, 叶笑春, 范东睿. 一种用于动态分配片上网络带宽的方法及装置. CN: CN113296957A, 2021-08-24.
[17] 欧焱, 范志华, 吴欣欣, 范东睿, 叶笑春, 李文明. 基于路由信息的数据流指令映射方法及系统. CN: CN113297131A, 2021-08-24.
[18] 吴欣欣, 范志华, 欧焱, 李文明, 叶笑春, 范东睿. 一种基于数据流架构的多精度神经网络计算装置以及方法. CN: CN113298245A, 2021-08-24.
[19] 秦梦远, 郝沁汾, 叶笑春, 范东睿. 面向环形数据报文网络的数据传输拥塞控制方法及系统. CN: CN113225241A, 2021-08-06.
[20] 张强, 郝沁汾, 叶笑春, 范东睿. 光电转换装置、计算机主板及计算机主机. CN: CN113193919A, 2021-07-30.
[21] 黄俊英, 付荣亮, 张阔中, 叶笑春, 张志敏, 范东睿. 生成面向超导RSFQ电路的多扇出时钟信号的方法. CN: CN113128165A, 2021-07-16.
[22] 黄俊英, 付荣亮, 张阔中, 叶笑春, 张志敏, 范东睿. 生成面向超导RSFQ电路的多扇出时钟信号的方法. CN: CN113128165A, 2021-07-16.
[23] 秦梦远, 郝沁汾, 叶笑春, 范东睿. 一种对CPU互连系统的网络拓扑结构进行重构的方法及装置. CN: CN113127404A, 2021-07-16.
[24] 黄俊英, 张阔中, 叶笑春, 张志敏, 范东睿. 用于双时钟架构的超导RSFQ电路布局方法. CN: CN113095033A, 2021-07-09.
[25] 李妍, 郝沁汾, 叶笑春, 范东睿. 自动检测除尘装置及除尘机箱. CN: CN113083797A, 2021-07-09.
[26] 张阔中, 张志敏, 唐光明, 黄俊英, 付荣亮, 叶笑春, 范东睿. 超导处理器及其输入输出控制模块. CN: CN112861463A, 2021-05-28.
[27] 范志华, 谭龙, 吴欣欣, 李文明, 安学军, 叶笑春, 范东睿. 面向数据流架构的SHA算法执行方法、存储介质、电子装置. CN: CN112861154A, 2021-05-28.
[28] 安述倩, 吴海彬, 刘艳欢, 李文明, 叶笑春, 范东睿. 粗粒度数据流架构执行阵列的调试方法及装置. CN: CN111008133B, 2021-04-27.
[29] 范志华, 欧焱, 吴欣欣, 李文明, 安学军, 叶笑春, 范东睿. 一种片上带宽动态分配方法及系统. CN: CN112311695A, 2021-02-02.
[30] 吴欣欣, 范志华, 欧焱, 李文明, 叶笑春, 范东睿. 基于数据流架构的稀疏卷积神经网络加速方法及装置. CN: CN112215349A, 2021-01-12.
[31] 范志华, 吴欣欣, 谭龙, 李文明, 安学军, 叶笑春, 范东睿. 一种神经网络剪枝方法及装置. CN: CN112183744A, 2021-01-05.
[32] 张志敏, 唐光明, 张阔中, 黄俊英, 付荣亮, 叶笑春, 范东睿. 一种超导并行寄存器堆装置. CN: CN112114875A, 2020-12-22.
[33] 张志敏, 唐光明, 张阔中, 黄俊英, 付荣亮, 叶笑春, 范东睿. 一种超导流水线电路及处理器. CN: CN112116094A, 2020-12-22.
[34] 范晓宣, 曹华伟, 叶笑春, 范东睿. 一种基于图数据库的蛋白质组数据管理方法、介质和设备. CN: CN112116951A, 2020-12-22.
[35] 吴欣欣, 范志华, 轩伟, 李文明, 叶笑春, 范东睿. 基于数据流架构的稀疏卷积神经网络加速方法及系统. CN: CN112015473A, 2020-12-01.
[36] 吴欣欣, 范志华, 轩伟, 李文明, 叶笑春, 范东睿. 基于数据流架构的稀疏卷积神经网络加速方法及系统. CN: CN112015472A, 2020-12-01.
[37] 付荣亮, 黄俊英, 张阔中, 唐光明, 叶笑春, 范东睿, 张志敏. 一种生成面向超导RSFQ电路的多扇出信号的方法. CN: CN111950216A, 2020-11-17.
[38] 范志华, 吴欣欣, 李文明, 安学军, 叶笑春, 范东睿. 一种加速安全散列算法的加速器. CN: CN111738703A, 2020-10-02.
[39] 聂娜, 王国波, 曹华伟, 叶笑春. 一种应用于分布式计算节点集群的图数据处理方法和介质. CN: CN111737540A, 2020-10-02.
[40] 安述倩, 张明喆, 叶笑春, 王达, 张浩, 范东睿, 唐志敏. 一种数据流处理器指令映射方法及系统、装置. CN: CN110941451A, 2020-03-31.
[41] 李文明, 叶笑春, 安述倩, 姜志颖, 王晨晖, 范东睿. 一种用于区块链的处理装置及方法. CN: CN110211618A, 2019-09-06.
[42] 李文明, 叶笑春, 安述倩, 姜志颖, 王晨晖, 范东睿. 一种哈希硬件处理装置及方法. CN: CN110211617A, 2019-09-06.
[43] 邹沫, 张鲁培, 李文明, 叶笑春, 范东睿. 基于数据流架构的快速傅里叶变换方法、系统和存储介质. CN: CN110008436A, 2019-07-12.
[44] 曹华伟, 张承龙, 安学军, 叶笑春, 范东睿. 一种面向宽度优先搜索算法的加速装置、方法及存储介质. CN: CN109992413A, 2019-07-09.
[45] 陈盛东, 胡淳一, 田雅. 一种虚拟资源分配方法及装置. CN: CN109816533A, 2019-05-28.
[46] 瞿佩瑶, 唐光明, 叶笑春, 范东睿. 一种RSFQ FFT处理器的蝶形运算处理方法及系统. CN: CN109783054A, 2019-05-21.
[47] 贾瑞花, 张承龙, 曹华伟, 叶笑春, 范东睿. 一种电信诈骗事件检测方法和检测系统. CN: CN109615116A, 2019-04-12.
[48] 郭南, 叶笑春, 王达, 范东睿, 张浩, 李文明. 基于深度线索的视频场景检索方法和系统. CN: CN109241342A, 2019-01-18.
[49] 瞿佩瑶, 唐光明, 叶笑春, 范东睿. 超导单磁通量子处理器的算术逻辑单元运算方法和系统. CN: CN108108151A, 2018-06-01.
[50] 李文明, 叶笑春, 孙凝晖, 范东睿, 王达, 马丽娜, 朱亚涛, 张洋. 一种异常事件自动推送及基于历史操作的监控方法及系统. CN: CN107071342A, 2017-08-18.
[51] 马丽娜, 祁玉琼, 叶笑春, 张浩, 范东睿, 王达. 一种字符操作加速方法、装置、芯片、处理器. CN: CN106445472A, 2017-02-22.
[52] 李文明, 孙凝晖, 叶笑春, 谢向辉, 李宏亮. 片上网络路由器通道资源的贪婪分配方法、装置及路由器. CN: CN106453072A, 2017-02-22.
[53] 张洋, 唐志敏, 叶笑春, 张浩, 范东睿. 众核处理器片上访存距离优化的方法及其装置. CN: CN106339350A, 2017-01-18.
[54] 谭旭, 唐志敏, 叶笑春, 王达, 张浩. 一种无回边数据流循环方法、系统、装置、芯片. CN: CN106227508A, 2016-12-14.
[55] 谭旭, 唐志敏, 叶笑春, 王达, 张浩. 一种多目的数据传输网路路由装置、方法、芯片、路由器. CN: CN106161254A, 2016-11-23.
[56] 范东睿, 宋风龙, 王达, 叶笑春. 内存访问处理方法、装置及系统. CN: CN104346285A, 2015-02-11.
[57] 徐远超, 范东睿, 张浩, 叶笑春. 一种访问数据缓存的方法和处理器. CN: CN104252392A, 2014-12-31.
[58] 张轮凯, 范东睿, 叶笑春, 王达. 基于多内核处理器的一致性处理方法和装置. CN: CN104252423A, 2014-12-31.
[59] 张轮凯, 范东睿, 张浩, 叶笑春. 一种众核系统的任务管理方法和装置. CN: CN104239134A, 2014-12-24.
[60] 范东睿, 叶笑春, 王达, 张浩. 一种实时多任务调度方法和装置. CN: CN103870327A, 2014-06-18.
[61] 徐远超, 范东睿, 张浩, 叶笑春. 一种基于缓存感知的确定待迁移任务的方法和装置. CN: CN103729248A, 2014-04-16.
[62] 叶笑春, 段振中, 范东睿, 张军超. 对状态寄存器进行重命名的方法和使用该方法的处理器. CN: CN100524208C, 2009-08-05.

出版信息

   
发表论文
[1] Xinda Chen, Rongliang Fu, Junying Huang, Huawei Cao, Zhimin Zhang, Xiaochun Ye, Tsung-Yi Ho, Dongrui Fan. JRouter: A Multi-Terminal Hierarchical Length-Matching Router under Planar Manhattan Routing Model for RSFQ Circuits. GLSVLSInull. 2023, [2] 范志华, 吴欣欣, 李文明, 曹华伟, 安学军, 叶笑春, 范东睿. 面向低精度神经网络的数据流体系结构优化. 计算机研究与发展[J]. 2023, 60(1): 43-58, http://lib.cqvip.com/Qikan/Article/Detail?id=7108741862.
[3] Liu, Xin, Yan, Mingyu, Deng, Lei, Li, Guoqi, Ye, Xiaochun, Fan, Dongrui. Sampling Methods for Efficient Training of Graph Convolutional Networks: A Survey. IEEE-CAA JOURNAL OF AUTOMATICA SINICAnull. 2022, 9(2): 205-234, http://dx.doi.org/10.1109/JAS.2021.1004311.
[4] Mo Zou, Mingyu Yan, Wenming Li, Zhimin Tang, Xiaochun Ye, Dongrui Fan. GEM: Execution-Aware Cache Management for Graph Analytics. ICA3PPnull. 2022, [5] Zou, Mo, Zhang, Mingzhe, Wang, Rujia, Sun, XianHe, Ye, Xiaochun, Fan, Dongrui, Tang, Zhimin. Accelerating Graph Processing With Lightweight Learning-Based Data Reordering. IEEE COMPUTER ARCHITECTURE LETTERS[J]. 2022, 21(1): 5-8, http://dx.doi.org/10.1109/LCA.2022.3151087.
[6] Rongliang Fu, Junying Huang, Haibin Wu, Xiaochun Ye, Dongrui Fan, Tsung-Yi Ho. JBNN: A Hardware Design for Binarized Neural Networks Using Single-Flux-Quantum Circuits. IEEE TRANSACTIONS ON COMPUTERS[J]. 2022, 771(12): 3203-3214, [7] Zhihua Fan, Wenming Li, Tianyu Liu, Xuejun An, Xiaochun Ye, Dongrui Fan. A Routing-Aware Mapping Method for Dataflow Architectures. International Conference on Network and Parallel Computingnull. 2022, [8] Zhihua Fan, Wenming Li, Tianyu Liu, Shengzhong Tang, Zhen Wang, Xuejun An, Xiaochun Ye, Dongrui Fan. A Loop Optimization Method for Dataflow Architecture. HPCCnull. 2022, [9] Junying Huang, Rongliang Fu, Xiaochun Ye, Dongrui Fan. A survey on superconducting computing technology: circuits, architectures and design tools. CCF Transactions on High Performance Computing[J]. 2022, [10] 裴颂文, 钱艺幻, 叶笑春, 刘海坤, 孔令和. 基于DRAM牺牲Cache的异构内存页迁移机制. 计算机研究与发展[J]. 2022, 59(3): 568-581, http://lib.cqvip.com/Qikan/Article/Detail?id=7106681206.
[11] Feng, YuJing, Li, DeJian, Tan, Xu, Ye, XiaoChun, Fan, DongRui, Li, WenMing, Wang, Da, Zhang, Hao, Tang, ZhiMin. Accelerating Data Transfer in Dataflow Architectures Through a Look-Ahead Acknowledgment Mechanism. JOURNAL OF COMPUTER SCIENCE AND TECHNOLOGY[J]. 2022, 37(4): 942-959, [12] Zhihua Fan, Wenming Li, Tianyu Liu, Shengzhong Tang, Zhen Wang, Xuejun An, Xiaochun Ye, Dongrui Fan. A Loop Optimization Method for Dataflow. High Performance Computing and Communicationsnull. 2022, [13] Yan, Mingyu, Zou, Mo, Yang, Xiaocheng, Li, Wenming, Ye, Xiaochun, Fan, Dongrui, Xie, Yuan. Characterizing and Understanding HGNNs on GPUs. IEEE COMPUTER ARCHITECTURE LETTERS[J]. 2022, 21(2): 69-72, [14] Lin, Haiyang, Yan, Mingyu, Yang, Xiaocheng, Zou, Mo, Li, Wenming, Ye, Xiaochun, Fan, Dongrui. Characterizing and Understanding Distributed GNN Training on GPUs. IEEE COMPUTER ARCHITECTURE LETTERS[J]. 2022, 21(1): 21-24, http://dx.doi.org/10.1109/LCA.2022.3168067.
[15] Wang, Yinshen, Li, Wenming, Liu, Tianyu, Zhou, Liangjiang, Wang, Bingnan, Fan, Zhihua, Ye, Xiaochun, Fan, Dongrui, Ding, Chibiao. Characterization and Implementation of Radar System Applications on a Reconfigurable Dataflow Architecture. IEEE COMPUTER ARCHITECTURE LETTERS[J]. 2022, 21(2): 121-124, [16] Xinxin Wu, Zhihua Fan, Tianyu Liu, Wenming Li, Xiaochun Ye, Dongrui Fan. LRP: Predictive output activation based on SVD approach for CNNs acceleration. Design, Automation and Test in Europenull. 2022, [17] 轩伟, 曹华伟, 严明玉, 唐志敏, 叶笑春, 范东睿. BSR-TC: Adaptively Sampling for Accurate Triangle Counting over Evolving Graph Streams. International Journal of Software Engineering and Knowledge Engineering[J]. 2021, 31(11): 1561-1581, https://worldscientific.com/doi/10.1142/S021819402140012X.
[18] 严明玉, 李涵, 邓磊, 胡杏, 叶笑春, 张志敏, 范东睿, 谢源. 图计算加速架构综述. 计算机研究与发展[J]. 2021, 58(4): 862-887, http://lib.cqvip.com/Qikan/Article/Detail?id=7104271412.
[19] Li, Yi, Wu, Meng, Ye, Xiaochun, Li, Wenming, Xue, Rui, Wang, Da, Zhang, Hao, Fan, Dongrui. An efficient scheduling algorithm for dataflow architecture using loop-pipelining. INFORMATION SCIENCES[J]. 2021, 547: 1136-1153, http://dx.doi.org/10.1016/j.ins.2020.09.029.
[20] Li, Han, Yan, Mingyu, Yang, Xiaocheng, Deng, Lei, Li, Wenming, Ye, Xiaochun, Fan, Dongrui, Xie, Yuan. Hardware Acceleration for GCNs via Bidirectional Fusion. IEEE COMPUTER ARCHITECTURE LETTERS[J]. 2021, 20(1): [21] Chenglong Zhang, Huawei Cao, Xiaochun Ye, Guobo Wang, Qinfen Hao, Dongrui Fan. Highly Efficient Breadth-First Search on CPU-based Single-node System. INTERNATIONAL JOURNAL OF HYDROGEN ENERGYnull. 2021, 2066-2071, [22] Cao, Dingyuan, Zhang, Mingzhe, Lu, Hang, Ye, Xiaochun, Fan, Dongrui, Che, Yuezhi, Wang, Rujia. Streamline Ring ORAM Accesses through Spatial and Temporal Optimization. 2021 27TH IEEE INTERNATIONAL SYMPOSIUM ON HIGH-PERFORMANCE COMPUTER ARCHITECTURE (HPCA 2021)null. 2021, 14-25, [23] 李灵枝, 胡九川, 叶笑春, 范东睿, 严龙. 渗透缓存命中率诱导的缓存区域动态分配机制研究. 软件导刊[J]. 2020, 19(4): 1-8, http://lib.cqvip.com/Qikan/Article/Detail?id=7101773847.
[24] Rongliang Fu, Zhimin Zhang, Guangming Tang, Junying Huang, Xiaochun Ye, Dongrui Fan, Ninghui Sun. Design Automation Methodology from RTL to Gate-level Netlist and Schematic for RSFQ Logic Circuits. Great Lakes Symposium on VLSInull. 2020, [25] Qu, PeiYao, Tang, GuangMing, Yang, JiaHong, Ye, XiaoChun, Fan, DongRui, Zhang, ZhiMin, Sun, NingHui. Design of an 8-bit Bit-Parallel RSFQ Microprocessor. IEEE TRANSACTIONS ON APPLIED SUPERCONDUCTIVITY[J]. 2020, 30(7): [26] Pei, Songwen, Shen, Tianma, Wang, Xianrong, Gu, Chunhua, Ning, Zhong, Ye, Xiaochun, Xiong, Naixue. 3DACN: 3D Augmented convolutional network for time series data. INFORMATION SCIENCES[J]. 2020, 513: 17-29, http://dx.doi.org/10.1016/j.ins.2019.11.040.
[27] Yang, JiaHong, Tang, GuangMing, Zheng, XiangYu, Ye, XiaoChun, Fan, DongRui, Zhang, ZhiMin, Sun, NingHui. Distributed Self-Clock: A Suitable Architecture for SFQ Circuits. IEEE TRANSACTIONS ON APPLIED SUPERCONDUCTIVITY[J]. 2020, 30(7): http://dx.doi.org/10.1109/TASC.2020.3007175.
[28] Li, Hui, Liu, Feiyang, Gu, Huaxi, Chu, Zhuqin, Ye, Xiaochun. A Reliability-Aware Joint Design Method of Application Mapping and Wavelength Assignment for WDM-Based Silicon Photonic Interconnects on Chip. IEEE ACCESS[J]. 2020, 8: 73457-73474, https://doaj.org/article/ea8927741d53416a9ad96b52eec98b7b.
[29] Tang, GuangMing, Qu, PeiYao, Zheng, XiangYu, Yang, JiaHong, Ye, XiaoChun, Fan, DongRui, Sun, NingHui. Bit-Slice Butterfly Processing Units for 64-Point RSFQ FFT Processors. IEEE TRANSACTIONS ON APPLIED SUPERCONDUCTIVITY[J]. 2020, 30(1): https://www.webofscience.com/wos/woscc/full-record/WOS:000482590700001.
[30] Yan Mingyu, Deng Lei, Hu Xing, Liang Ling, Feng Yujing, Ye Xiaochun, Zhang Zhimin, Fan Dongrui, Xie Yuan. HyGCN: A GCN Accelerator with Hybrid Architecture. 2020, http://arxiv.org/abs/2001.02514.
[31] Yan, Mingyu, Chen, Zhaodong, Deng, Lei, Ye, Xiaochun, Zhang, Zhimin, Fan, Dongrui, Xie, Yuan. Characterizing and Understanding GCNs on GPU. IEEE COMPUTER ARCHITECTURE LETTERS[J]. 2020, 19(1): 22-25, http://dx.doi.org/10.1109/LCA.2020.2970395.
[32] Ye, Xiaochun, Tan, Xu, Wu, Meng, Feng, Yujing, Wang, Da, Zhang, Hao, Pei, Songwen, Fan, Dongrui. An efficient dataflow accelerator for scientific applications. FUTURE GENERATION COMPUTER SYSTEMS-THE INTERNATIONAL JOURNAL OF ESCIENCE[J]. 2020, 112: 580-588, http://dx.doi.org/10.1016/j.future.2020.03.023.
[33] 叶笑春, 李文明, 张洋, 张浩, 王达, 范东睿. 高通量众核处理器设计. 数据与计算发展前沿[J]. 2020, 2(1): 70-84, https://kns.cnki.net/KCMS/detail/detail.aspx?dbcode=CJFQ&dbname=CJFDLAST2020&filename=KYXH202001006&v=MDU4OTk4ZVgxTHV4WVM3RGgxVDNxVHJXTTFGckNVUjd1Zlp1Wm5GaXZuVUwzTkxqVFRackc0SE5ITXJvOUZZb1I=.
[34] 张承龙, 曹华伟, 王国波, 郝沁汾, 张洋, 叶笑春, 范东睿. 面向高通量计算机的图算法优化技术. 计算机研究与发展[J]. 2020, 57(6): 1152-1163, http://lib.cqvip.com/Qikan/Article/Detail?id=7101851458.
[35] 董荣育, 曹华伟, 叶笑春, 张园, 郝沁汾, 范东睿. Highly Efficient and GPU-Friendly Implementation of BFS on Single-node System. International Symposium on Parallel and Distributed Processing with Applications (ISPA 2017)null. 2020, https://ieeexplore.ieee.org/document/9443861.
[36] 李易, 常成娟, 卢圣健, 江道忠, 范东睿, 叶笑春. 面向数据流结构的指令映射优化方法. 计算机工程与科学[J]. 2019, 41(1): 9-13, http://lib.cqvip.com/Qikan/Article/Detail?id=7001148810.
[37] 范东睿. 面向数据流结构的指令内存访存冲突优化研究. 计算机研究与发展. 2019, [38] Dongrui Fan. C-MAP: Improving the Effectiveness of Mapping Method for CGRA by Reducing NoC Congestion. HPCC 2019. 2019, [39] 欧焱, 冯煜晶, 李文明, 叶笑春, 王达, 范东睿. 面向数据流结构的指令内访存冲突优化研究. 计算机研究与发展[J]. 2019, 56(12): 2720-2732, http://lib.cqvip.com/Qikan/Article/Detail?id=7100658631.
[40] Ye Xiaochun. 3DACN: 3D Augmented Convolutional Network for Time Series Data.. Information Sciences. 2019, [41] Junying Huang, Jing Ye, Xiaochun Ye, Da Wang, Dongrui Fan, Huawei Li, Xiaowei Li, Zhimin Zhang. Instruction Vulnerability Test and Code Optimization against DVFS attack. 2019 IEEE INTERNATIONAL TEST CONFERENCE IN ASIA (ITC-ASIA 2019)[J]. 2019, 49-54, [42] 范东睿, 叶笑春, 包云岗, 孙凝晖. 中国高通量计算机的自主研发之路. 中国科学院院刊[J]. 2019, 648-656, http://lib.cqvip.com/Qikan/Article/Detail?id=75898988504849574854484856.
[43] Zokaee, Farzaneh, Zhang, Mingzhe, Ye, Xiaochun, Fan, Dongrui, Jiang, Lei, ACM. Magma: A Monolithic 3D Vertical Heterogeneous ReRAM-based Main Memory Architecture. PROCEEDINGS OF THE 2019 56TH ACM/EDAC/IEEE DESIGN AUTOMATION CONFERENCE (DAC)null. 2019, http://dx.doi.org/10.1145/3316781.3317858.
[44] 张志敏. Balancing Memory Accesses for Energy-Efficient Graph Analytics Accelerators. ISLPED. 2019, [45] Chu, Zhuqin, Li, Hui, Gu, Huaxi, Ye, Xiaochun. Wavelength assignment method based on ACO to reduce crosstalk for ring-based optical Network-on-Chip. MICROPROCESSORS AND MICROSYSTEMS[J]. 2019, 71: 7-, http://dx.doi.org/10.1016/j.micpro.2019.102849.
[46] Li, Wenming, Ye, Xiaochun, Wang, Da, Zhang, Hao, Tang, Zhimin, Fan, Dongrui, Sun, Ninghui. PIM-WEAVER: A High Energy-efficient, General-purpose Acceleration Architecture for String Operations in Big Data Processing. SUSTAINABLE COMPUTING-INFORMATICS & SYSTEMS[J]. 2019, 21: 129-142, http://dx.doi.org/10.1016/j.suscom.2019.01.006.
[47] Wenming Li, Xiaochun Ye, Da Wang, Hao Zhang, Zhimin Tang, Dongrui Fan, Ninghui Sun. PIM-WEAVER: A High Energy-efficient, General-purpose Acceleration Architecture for String Operations in Big Data Processing. SUSTAINABLE COMPUTING: INFORMATICS AND SYSTEMS. 2019, 21: 129-142, http://dx.doi.org/10.1016/j.suscom.2019.01.006.
[48] 余世干, 唐志敏, 叶笑春, 范东睿. 基于推测机制异构多核处理器容错方法与仿真. 系统仿真学报[J]. 2019, 31(12): 2685-2695, http://lib.cqvip.com/Qikan/Article/Detail?id=7100565631.
[49] Dongrui Fan. Applying CNN on a Scientific Application Accelerator Based on Dataflow Architecture. CCF Transaction on High Performance Computing (CCF THPC). 2019, [50] Yan Mingyu, Hu Xing, Li Shuangchen, Basak Abanti, Li Han, Ma Xin, Akgun Itir, Peng Yujing, Gu Peng, Deng Lei, Ye Xiaochun, Zhang Zhimin, Fan Dongrui, Xie Yuan, Assoc Comp Machinery. Alleviating Irregularity in Graph Analytics Acceleration: a Hardware/Software Co-Design Approach. MICRO'52: THE 52ND ANNUAL IEEE/ACM INTERNATIONAL SYMPOSIUM ON MICROARCHITECTUREnull. 2019, 615-628, http://dx.doi.org/10.1145/3352460.3358318.
[51] Gao, Yan, Liu, Boxiao, Guo, Nan, Ye, Xiaochun, Wan, Fang, You, Haihang, Fan, Dongrui, IEEE. C-MIDN: Coupled Multiple Instance Detection Network With Segmentation Guidance for Weakly Supervised Object Detection. 2019 IEEE/CVF INTERNATIONAL CONFERENCE ON COMPUTER VISION (ICCV 2019)null. 2019, 9833-9842, [52] 向陶然, 叶笑春, 李文明, 冯煜晶, 谭旭, 张浩, 范东睿. 基于细粒度数据流架构的稀疏神经网络全连接层加速. 计算机研究与发展[J]. 2019, 56(6): 1192-1204, http://lib.cqvip.com/Qikan/Article/Detail?id=7002192926.
[53] Tang, GuangMing, Qu, PeiYao, Ye, XiaoChun, Fan, DongRui, Sun, NingHui. 32-Bit 4 x 4 Bit-Slice RSFQ Matrix Multiplier. IEEE TRANSACTIONS ON APPLIED SUPERCONDUCTIVITY[J]. 2018, 28(7): https://www.webofscience.com/wos/woscc/full-record/WOS:000435190700001.
[54] Xiang Taoran, Feng Yujing, Ye Xiaochun, Tan Xu, Li Wenming, Zhu Yatao, Wu Meng, Zhang Hao, Fan Dongrui, IEEE. Accelerating CNN Algorithm with Fine-grained Dataflow Architectures. IEEE 20TH INTERNATIONAL CONFERENCE ON HIGH PERFORMANCE COMPUTING AND COMMUNICATIONS / IEEE 16TH INTERNATIONAL CONFERENCE ON SMART CITY / IEEE 4TH INTERNATIONAL CONFERENCE ON DATA SCIENCE AND SYSTEMS (HPCC/SMARTCITY/DSS)null. 2018, 243-251, http://dx.doi.org/10.1109/HPCC/SmartCity/DSS.2018.00063.
[55] Feng Yujing, Li Han, Tan Xu, Ye Xiaochun, Fan Dongrui, Tang Zhimin, IEEE. Optimizing network efficiency of dataflow architectures through dynamic packet merging. 2018 NINTH INTERNATIONAL GREEN AND SUSTAINABLE COMPUTING CONFERENCE (IGSC)null. 2018, http://apps.webofknowledge.com/CitedFullRecord.do?product=UA&colName=WOS&SID=5CCFccWmJJRAuMzNPjj&search_mode=CitedFullRecord&isickref=WOS:000484460900038.
[56] Tang, GuangMing, Qu, PeiYao, Ye, XiaoChun, Fan, DongRui. Logic Design of a 16-bit Bit-Slice Arithmetic Logic Unit for 32-/64-bit RSFQ Microprocessors. IEEE TRANSACTIONS ON APPLIED SUPERCONDUCTIVITY[J]. 2018, 28(4): https://www.webofscience.com/wos/woscc/full-record/WOS:000425742900001.
[57] Tan, Xu, Ye, XiaoChun, Shen, XiaoWei, Xu, YuanChao, Wang, Da, Zhang, Lunkai, Li, WenMing, Fan, DongRui, Tang, ZhiMin. A Pipelining Loop Optimization Method for Dataflow Architecture. JOURNAL OF COMPUTER SCIENCE AND TECHNOLOGY[J]. 2018, 33(1): 116-130, http://lib.cqvip.com/Qikan/Article/Detail?id=674567291.
[58] 冯煜晶, 欧焱, 叶笑春, 范东睿, 谭旭, 唐志敏. 基于网络负载特征感知的数据流指令调度机制研究. 高技术通讯[J]. 2018, 28(11): 885-898, http://lib.cqvip.com/Qikan/Article/Detail?id=7001166774.
[59] Tan, Xu, Shen, XiaoWei, Ye, XiaoChun, Wang, Da, Fan, DongRui, Zhang, Lunkai, Li, WenMing, Zhang, ZhiMin, Tang, ZhiMin. A Non-Stop Double Buffering Mechanism for Dataflow Architecture. JOURNAL OF COMPUTER SCIENCE AND TECHNOLOGY[J]. 2018, 33(1): 145-157, http://lib.cqvip.com/Qikan/Article/Detail?id=674567293.
[60] 薛瑞, 苗福涛, 叶笑春, 孙凝晖, 徐文星. 面向处理器微体系结构评估的高通量MicroBenchmark研究. 计算机研究与发展[J]. 2018, 55(7): 1569-1583, http://lib.cqvip.com/Qikan/Article/Detail?id=676015054.
[61] 范东睿, 叶笑春. 众核处理器:高端计算的核心引擎. 前沿科学[J]. 2018, 12(4): 32-36, http://lib.cqvip.com/Qikan/Article/Detail?id=7001585981.
[62] 余世干, 唐志敏, 叶笑春, 张志敏. 异构多核的一种高性能容错调度方法与仿真. 系统仿真学报[J]. 2018, 30(11): 4210-4219,4235, http://lib.cqvip.com/Qikan/Article/Detail?id=676743432.
[63] Feng Yujing, Xiang Taoran, Ye Xiaochun, Fan Dongrui, Wang Da, Wu Dongdong, Tang Zhimin, IEEE. Optimizing the efficiency of data transfer in dataflow architectures. IEEE 20TH INTERNATIONAL CONFERENCE ON HIGH PERFORMANCE COMPUTING AND COMMUNICATIONS / IEEE 16TH INTERNATIONAL CONFERENCE ON SMART CITY / IEEE 4TH INTERNATIONAL CONFERENCE ON DATA SCIENCE AND SYSTEMS (HPCC/SMARTCITY/DSS)null. 2018, 140-149, http://dx.doi.org/10.1109/HPCC/SmartCity/DSS.2018.00050.
[64] Fan, Dongrui, Li, Wenming, Ye, Xiaochun, Wang, Da, Zhang, Hao, Tang, Zhimin, Sun, Ninghui, IEEE. SmarCo: An Efficient Many-Core Processor for High-Throughput Applications in Datacenters. 2018 24TH IEEE INTERNATIONAL SYMPOSIUM ON HIGH PERFORMANCE COMPUTER ARCHITECTURE (HPCA)null. 2018, 596-607, [65] Shen, XiaoWei, Ye, XiaoChun, Tan, Xu, Wang, Da, Zhang, Lunkai, Li, WenMing, Zhang, ZhiMin, Fan, DongRui, Sun, NingHui. An Efficient Network-on-Chip Router for Dataflow Architecture. JOURNAL OF COMPUTER SCIENCE AND TECHNOLOGY[J]. 2017, 32(1): 11-25, [66] 申小伟, 叶笑春, 王达, 张浩, 王飞, 谭旭, 张志敏, 范东睿, 唐志敏, 孙凝晖. 一种面向科学计算的数据流优化方法. 计算机学报[J]. 2017, 40(9): 2181-2196, http://lib.cqvip.com/Qikan/Article/Detail?id=673042586.
[67] 张洋, 李文明, 叶笑春, 王达, 范东睿, 李宏亮, 唐志敏, 孙凝晖. LFF:一种面向大数据应用的众核处理器访存公平性调度机制. 高技术通讯[J]. 2017, 27(2): 103-111, http://lib.cqvip.com/Qikan/Article/Detail?id=672300314.
[68] 方国庆, 李文明, 余洋, 张洋, 叶笑春, 安虹. 高通量众核并行模拟加速技术研究. 计算机工程[J]. 2017, 34(4): 73-78,89, http://lib.cqvip.com/Qikan/Article/Detail?id=672135421.
[69] 胡九川, 范东睿, 李丹萍, 严龙, 叶笑春. 一种支持数据渗透迁移的片上缓存模型研究. 北京交通大学学报:自然科学版[J]. 2017, 41(5): 1-9, http://lib.cqvip.com/Qikan/Article/Detail?id=674102938.
[70] 刘炳涛, 王达, 叶笑春, 范东睿, 张志敏, 唐志敏. 基于数据流块的空间指令调度方法. 计算机研究与发展[J]. 2017, 54(4): 750-763, http://lib.cqvip.com/Qikan/Article/Detail?id=7000192386.
[71] Shen Xiaowei, Ye Xiaochun, Tan Xu, Wang Da, Zhang Zhimin, Fan Dongrui, Tang Zhimin, IEEE. POSTER: An Optimization of Dataflow Architectures for Scientific Applications. 2016INTERNATIONALCONFERENCEONPARALLELARCHITECTUREANDCOMPILATIONTECHNIQUESPACTnull. 2016, 441-442, http://dx.doi.org/10.1145/2967938.2974054.
[72] Qi Yuqiong, Ma Lina, Li Wenming, Ye Xiaochun, Wang Da, Fan Dongrui, Sun Ninghui, Chen J, Yang LT. ACCC: An Acceleration Mechanism for Character Operation based on Cache Computing in Big Data Applications. PROCEEDINGS OF 2016 IEEE 18TH INTERNATIONAL CONFERENCE ON HIGH PERFORMANCE COMPUTING AND COMMUNICATIONS; IEEE 14TH INTERNATIONAL CONFERENCE ON SMART CITY; IEEE 2ND INTERNATIONAL CONFERENCE ON DATA SCIENCE AND SYSTEMS (HPCC/SMARTCITY/DSS)null. 2016, 608-615, http://dx.doi.org/10.1109/HPCC-SmartCity-DSS.2016.56.
[73] Zhu Yatao, Zhang Shuai, Ye Xiaochun, Wang Da, Tan Xu, Fan Dongrui, Zhang Zhimin, Li Hongliang, IEEE. An Energy-efficient Bandwidth Allocation Method for Single-chip Heterogeneous Processor. 2016 SEVENTH INTERNATIONAL GREEN AND SUSTAINABLE COMPUTING CONFERENCE (IGSC)null. 2016, http://apps.webofknowledge.com/CitedFullRecord.do?product=UA&colName=WOS&SID=5CCFccWmJJRAuMzNPjj&search_mode=CitedFullRecord&isickref=WOS:000402169700033.
[74] Zhu Yatao, Ye Xiaochun, Wang Da, Li Wenming, Zhang Yang, Fan Dongrui, Zhang Zhimin, Tang Zhimin, IEEE. A Framework for Energy-efficient Optimization on Multi-Cores. 2016 SEVENTH INTERNATIONAL GREEN AND SUSTAINABLE COMPUTING CONFERENCE (IGSC)null. 2016, http://apps.webofknowledge.com/CitedFullRecord.do?product=UA&colName=WOS&SID=5CCFccWmJJRAuMzNPjj&search_mode=CitedFullRecord&isickref=WOS:000402169700032.
[75] 张洋, 王达, 叶笑春, 朱亚涛, 范东睿, 李宏亮, 谢向辉. 众核处理器片上网络的层次化全局自适应路由机制. 计算机研究与发展[J]. 2016, 53(6): 1211-1220, http://lib.cqvip.com/Qikan/Article/Detail?id=669061058.
[76] Shen Xiaowei, Ye Xiaochun, Tan Xu, Wang Da, Zhang Zhimin, Tang Zhimin, Fan Dongrui, IEEE. Memory Partition for SIMD in Streaming Dataflow Architectures. 2016 SEVENTH INTERNATIONAL GREEN AND SUSTAINABLE COMPUTING CONFERENCE (IGSC)null. 2016, http://apps.webofknowledge.com/CitedFullRecord.do?product=UA&colName=WOS&SID=5CCFccWmJJRAuMzNPjj&search_mode=CitedFullRecord&isickref=WOS:000402169700035.
[77] 刘炳涛, 王达, 叶笑春, 张浩, 范东睿, 张志敏. 一种缓存数据流信息的处理器前端设计. 计算机研究与发展[J]. 2016, 53(6): 1221-1237, http://lib.cqvip.com/Qikan/Article/Detail?id=669061059.
[78] 刘炳涛, 王达, 叶笑春, 张浩, 范东睿, 张志敏. 一种缓存数据流信息的处理器前端设计. 计算机研究与发展[J]. 2016, 53(6): 1221-1237, http://lib.cqvip.com/Qikan/Article/Detail?id=669061059.
[79] 李文明, 叶笑春, 张洋, 宋风龙, 王达, 唐士斌, 范东睿, 谢向辉. BDSim:面向大数据应用的组件化高可配并行模拟框架. 计算机学报[J]. 2015, 38(10): 1959-1975, http://lib.cqvip.com/Qikan/Article/Detail?id=666506311.
[80] 李文明, 叶笑春, 王达, 郑方, 李宏亮, 林晗, 范东睿, 孙凝晖. MACT:高通量众核处理器离散访存请求批量处理机制. 计算机研究与发展[J]. 2015, 52(6): 1254-1265, http://lib.cqvip.com/Qikan/Article/Detail?id=665059268.
[81] 朱亚涛, 张帅, 王达, 叶笑春, 张洋, 胡九川, 张志敏, 范东睿, 李宏亮. EOFDM:一种面向众核架构的最低能耗搜索方法. 计算机研究与发展[J]. 2015, 52(6): 1303-1315, http://lib.cqvip.com/Qikan/Article/Detail?id=665059273.
[82] Li Jianwei, Dong Gang, Wang Zeng, Ye Xiaochun. Statistical Interconnect Crosstalk Noise Model and Analysis for Process Variations. CHINESE JOURNAL OF ELECTRONICS[J]. 2015, 24(1): 83-87, http://lib.cqvip.com/Qikan/Article/Detail?id=69689088504849534849484952.
[83] 朱亚涛, 张帅, 王达, 叶笑春, 张洋, 胡九川, 张志敏, 范东睿, 李宏亮. EOFDM:一种面向众核架构的最低能耗搜索方法. 计算机研究与发展[J]. 2015, 52(6): 1303-1315, http://lib.cqvip.com/Qikan/Article/Detail?id=665059273.
[84] 李文明, 叶笑春, 张洋, 宋风龙, 王达, 唐士斌, 范东睿, 谢向辉. BDSim:面向大数据应用的组件化高可配并行模拟框架. 计算机学报[J]. 2015, 38(10): 1959-1975, http://lib.cqvip.com/Qikan/Article/Detail?id=666506311.
[85] 李文明, 叶笑春, 王达, 郑方, 李宏亮, 林晗, 范东睿, 孙凝晖. MACT:高通量众核处理器离散访存请求批量处理机制. 计算机研究与发展[J]. 2015, 52(6): 1254-1265, http://lib.cqvip.com/Qikan/Article/Detail?id=665059268.
[86] Lv, Yi, Sun, Luming, Ye, Xiaochun, Fan, Dongrui, Wu, Peng, Cassez, F, Raskin, JF. Efficiently and Completely Verifying Synchronized Consistency Models. AUTOMATED TECHNOLOGY FOR VERIFICATION AND ANALYSIS, ATVA 2014null. 2014, 8837: 264-280, [87] Ye Xiaochun. Optimizing MapReduce with Low Memory Requirements for Shared-Memory Systems. IEEE/ACIS International Conference on Software Engineering, Artificial Intelligence, Networking and Parallel/Distributed Computing. 2014, [88] 郑亚松, 王达, 叶笑春, 崔慧敏, 徐远超, 范东睿. MALK:一种高效处理大规模键值的MapReduce框架. 计算机研究与发展[J]. 2014, 51(12): 2711-2723, http://lib.cqvip.com/Qikan/Article/Detail?id=663245478.
[89] Song, Fenglong, Zheng, Yasong, Miao, Futao, Ye, Xiaochun, Zhang, Hao, Fan, Dongrui, Liu, Zhiyong, IEEE. Low Execution Efficiency: When General Multi-Core Processor Meets Wireless Communication Protocol. 2013 IEEE 15TH INTERNATIONAL CONFERENCE ON HIGH PERFORMANCE COMPUTING AND COMMUNICATIONS & 2013 IEEE INTERNATIONAL CONFERENCE ON EMBEDDED AND UBIQUITOUS COMPUTING (HPCC_EUC)null. 2013, 906-913, http://dx.doi.org/10.1109/HPCC.and.EUC.2013.129.
[90] Ye Xiaochun, Fan Dongrui, Sun Ninghui, Tang Shibin, Zhang Mingzhe, Zhang Hao, IEEE. SimICT: A Fast and Flexible Framework for Performance and Power Evaluation of Large-Scale Architecture. 2013IEEEINTERNATIONALSYMPOSIUMONLOWPOWERELECTRONICSANDDESIGNISLPEDnull. 2013, 273-278, http://apps.webofknowledge.com/CitedFullRecord.do?product=UA&colName=WOS&SID=5CCFccWmJJRAuMzNPjj&search_mode=CitedFullRecord&isickref=WOS:000337238700048.
[91] Zhang Mingzhe, Wang Da, Ye Xiaochun, He Liqiang, Fan Dongrui, Liu Zhiyong, IEEE. A Path-Adaptive Opto-Electronic Hybrid NoC for Chip Multi-Processor. 2013 12TH IEEE INTERNATIONAL CONFERENCE ON TRUST, SECURITY AND PRIVACY IN COMPUTING AND COMMUNICATIONS (TRUSTCOM 2013)null. 2013, 1198-1205, [92] 范涛, 刘高辉, 叶笑春, 李文明, 宋爽, 范东睿. SPARC平台模拟器源码级调试系统的研究与实现. 计算机工程与应用[J]. 2013, 49(4): 65-70, http://lib.cqvip.com/Qikan/Article/Detail?id=44810940.
[93] Dongrui Fan. An Efficient Parallel Mechanism for Highly-Debuggable Multicore Simulator. International Conference on Advanced Parallel Processing Technology (APPT). 2013, [94] Jiao Shuai, Ienne Paolo, Ye Xiaochun, Wang Da, Fan Dongrui, Sun Ninghui, Kaklamanis C, Papatheodorou T, Spirakis PG. CRAW/P: A Workload Partition Method for the Efficient Parallel Simulation of Manycores. EURO-PAR 2012 PARALLEL PROCESSINGnull. 2012, 7484: 102-114, [95] Jiao Shuai, Wang Da, Ye Xiaochun, Xu Weizhi, Zhang Hao, Sun Ninghui, IEEE, Min G, Lefevre L, Hu J, Liu L, Yang LT, Seelam S. PartitionSim: A Parallel Simulator for Many-cores. 2012 IEEE 14TH INTERNATIONAL CONFERENCE ON HIGH PERFORMANCE COMPUTING AND COMMUNICATIONS & 2012 IEEE 9TH INTERNATIONAL CONFERENCE ON EMBEDDED SOFTWARE AND SYSTEMS (HPCC-ICESS)null. 2012, 119-126, [96] Xu Weizhi, Liu Zhiyong, Wu Jun, Ye Xiaochun, Jiao Shuai, Wang Da, Song Fenglong, Fan Dongrui, IEEE. Auto-Tuning GEMV on Many-Core GPU. PROCEEDINGS OF THE 2012 IEEE 18TH INTERNATIONAL CONFERENCE ON PARALLEL AND DISTRIBUTED SYSTEMS (ICPADS 2012)null. 2012, 30-36, [97] Fan, Dongrui, Zhang, Hao, Wang, Da, Ye, Xiaochun, Song, Fenglong, Li, Guojie, Sun, Ninghui. GODSON-T: AN EFFICIENT MANY-CORE PROCESSOR EXPLORING THREAD-LEVEL PARALLELISM. IEEE MICRO[J]. 2012, 32(2): 38-47, https://www.webofscience.com/wos/woscc/full-record/WOS:000302458600007.
[98] Jiao Shuai, Wang Da, Ye Xiaochun, Xu Weizhi, Zhang Hao, Sun Ninghui, IEEE, Min G, Lefevre L, Hu J, Liu L, Yang LT, Seelam S. ALWP: A Workload Partition Method for the Efficient Parallel Simulation of Manycores. 2012 IEEE 14TH INTERNATIONAL CONFERENCE ON HIGH PERFORMANCE COMPUTING AND COMMUNICATIONS & 2012 IEEE 9TH INTERNATIONAL CONFERENCE ON EMBEDDED SOFTWARE AND SYSTEMS (HPCC-ICESS)null. 2012, 135-142, [99] 余磊, 刘志勇, 马宜科, 宋风龙, 徐卫志, 叶笑春. 众核结构上分块LU分解算法的研究. 高技术通讯[J]. 2011, 21(3): 248-253, http://lib.cqvip.com/Qikan/Article/Detail?id=37233631.
[100] Dongrui Fan. Godson-T-- High-Efficient Architecture of Godson-T Many-Core Processor. HotChips. 2011, [101] 余磊, 刘志勇, 宋风龙, 叶笑春. LU分解在众核结构仿真器上的指令级调度研究. 系统仿真学报[J]. 2011, 23(12): 2603-2610, http://lib.cqvip.com/Qikan/Article/Detail?id=40082454.
[102] 叶笑春, 林伟, 范东睿, 张浩. 蛋白质序列比对算法在众核结构上的并行优化. 软件学报[J]. 2010, 3094-3105, http://lib.cqvip.com/Qikan/Article/Detail?id=36056005.
[103] Dongrui Fan. High Performance Comparison-Based Sorting Algorithm on Many-Core GPUs. International Parallel and Distributed Processing Symposium (IPDPS). 2010, [104] DongRui Fan, Nan Yuan, JunChao Zhang, YongBin Zhou, Wei Lin, FengLong Song, XiaoChun Ye, He Huang, Lei Yu, GuoPing Long, Hao Zhang, Lei Liu. Godson-T: An Efficient Many-Core Architecture for Parallel Program Executions. JOURNAL OF COMPUTER SCIENCE AND TECHNOLOGY,[J]. 2009, 24(6): 1061-1073, https://www.webofscience.com/wos/woscc/full-record/WOS:000271535700008.
[105] Fan, DongRui, Yuan, Nan, Zhang, JunChao, Zhou, YongBin, Lin, Wei, Song, FengLong, Ye, XiaoChun, Huang, He, Yu, Lei, Long, GuoPing, Zhang, Hao, Liu, Lei. Godson-T: An Efficient Many-Core Architecture for Parallel Program Executions. JOURNAL OF COMPUTER SCIENCE AND TECHNOLOGY[J]. 2009, 24(6): 1061-1073, http://lib.cqvip.com/Qikan/Article/Detail?id=32022578.
[106] Dongrui Fan. A fast linear-space sequence alignment algorithm with dynamic parallelization framework. Proceedings - IEEE 9th International Conference on Computer and Information Technology, CIT 2009. 2009, [107] 张浩, 林伟, 周永彬, 叶笑春, 范东睿. 通用处理器的高带宽访存流水线研究. 计算机学报[J]. 2009, 142-151, http://lib.cqvip.com/Qikan/Article/Detail?id=29336464.
[108] 宋风龙, 张浩, 林伟, 叶笑春. 众核处理器中使用写掩码实现混合写回/写穿透策略. 计算机学报[J]. 2008, 31(11): 1918-1928, http://lib.cqvip.com/Qikan/Article/Detail?id=28668925.
[109] Ye, Xiaochun, Nguyen, Van Hoa, Lavenier, Dominique, Fan, Dongrui, Pan, Y, Huang, Z, Xu, Z, Rountree, N, Lefevre, L, Shen, H, Hine, J. Efficient Parallelization of a Protein Sequence Comparison Algorithm on Manycore Architecture. PDCAT 2008: NINTH INTERNATIONAL CONFERENCE ON PARALLEL AND DISTRIBUTED COMPUTING, APPLICATIONS AND TECHNOLOGIES, PROCEEDINGSnull. 2008, 167-+, http://dx.doi.org/10.1109/PDCAT.2008.28.
发表著作
(1) 并行计算机组成与设计, Parallel Computer Organization and Design, 机械工业出版社, 2017-05, 第 2 作者

科研活动

   

指导学生

已指导学生

贾瑞花  硕士研究生  085211-计算机技术  

王国波  硕士研究生  081201-计算机系统结构  

卢圣健  硕士研究生  085211-计算机技术  

李丹峰  硕士研究生  085208-电子与通信工程  

董睿  硕士研究生  085211-计算机技术  

现指导学生

孙一鸣  硕士研究生  085400-电子信息