基本信息

李俊杰  男  硕导  中国科学院微电子研究所
电子邮件: lijunjie@ime.ac.cn
通信地址: 北京市朝阳区北土城西路3号
邮政编码:100029

研究领域

先导刻蚀技术,纳米器件及关键工艺,红外传感/探测器技术


招生信息

   
招生专业
080903-微电子学与固体电子学
085400-电子信息
招生方向
微纳电子器件及先导工艺

教育背景

2018-09--2021-06   中国科学院大学   工学博士
2004-09--2007-03   北京航空航天大学   工学硕士
2000-09--2004-06   武汉理工大学   工学学士

工作经历

   
工作简历
2013-04~现在, 中国科学院微电子研究所, 高级工程师
2007-04~2013-04,北方微电子公司(现北方华创), 产品经理

专利与奖励

   
奖励信息
(1) 校优秀毕业生, 特等奖, 研究所(学校), 2021
(2) 三好学生标兵, 特等奖, 研究所(学校), 2021
(3) 院长奖特别奖, 特等奖, 院级, 2021
(4) 北京市优秀毕业生, 特等奖, 省级, 2021
(5) 三好学生标兵, 特等奖, 研究所(学校), 2021
(6) 王守武奖学金, 一等奖, 研究所(学校), 2020
(7) 三好学生标兵, 特等奖, 研究所(学校), 2020
(8) 荣誉奖学金特等奖, 特等奖, 研究所(学校), 2020
(9) 唐立新奖, 特等奖, 研究所(学校), 2020
(10) 国家奖学金, 特等奖, 部委级, 2020
(11) 荣誉奖学金特等奖, 特等奖, 研究所(学校), 2019
(12) 先进工作者(十佳), 特等奖, 研究所(学校), 2015
专利成果
[1] 李南照, 高建峰, 刘卫兵, 李俊杰. 半导体制造方法和半导体结构. CN: CN114256143A, 2022-03-29.
[2] 李相龙, 周娜, 李俊杰. 一种半导体反应腔的气路系统、控制方法及加工设备. CN: CN114256086A, 2022-03-29.
[3] 崔锺武, 金成基, 李俊杰, 周娜, 李琳. 电容器及其制备方法. CN: CN114256240A, 2022-03-29.
[4] 姜东勋, 李俊杰, 周娜, 王佳, 李琳. 一种接触孔的刻蚀方法及DRAM的制造方法. CN: CN114242652A, 2022-03-25.
[5] 李焕珪, 周娜, 王佳, 李俊杰. 一种晶圆偏移检测方法、装置和刻蚀系统. CN: CN114203575A, 2022-03-18.
[6] 金建澔, 周娜, 王佳, 李俊杰. 一种倾斜检测装置、方法和机械臂系统. CN: CN114199193A, 2022-03-18.
[7] 周娜, 李俊杰, 高建峰, 杨涛, 李俊峰, 王文武. 水平纳米通道阵列、微纳流控芯片及其制作方法. CN: CN111569963B, 2022-03-08.
[8] 裴俊值, 高建峰, 刘卫兵, 李俊杰, 卢一泓. 半导体器件及其制造方法、存储器、电子设备. CN: CN114141749A, 2022-03-04.
[9] 金宗范, 周娜, 李俊杰, 杨涛, 王文武, 李俊峰. 一种半导体器件结构的制造方法. CN: CN114141710A, 2022-03-04.
[10] 裴俊值, 周娜, 李俊杰. 一种半导体结构及其制造方法、半导体器件、芯片. CN: CN114141750A, 2022-03-04.
[11] 康大沃, 高建峰, 李俊杰, 张月, 卢一泓. 制备双大马士革结构的方法. CN: CN114121779A, 2022-03-01.
[12] 卞成洙, 高建峰, 刘卫兵, 李俊杰. 电容装置及其制备方法、存储器. CN: CN114121902A, 2022-03-01.
[13] 吕寅准, 高建峰, 李俊杰, 刘卫兵, 卢一泓. 一种半导体器件及其制造方法. CN: CN114093943A, 2022-02-25.
[14] 郭炳容, 卢一泓, 李俊杰, 高建峰. 一种半导体结构、其制造方法及DRAM. CN: CN114093942A, 2022-02-25.
[15] 郭炳容, 卢一泓, 李俊杰, 高建峰. 一种晶体管器件、其形成方法和DRAM. CN: CN114093941A, 2022-02-25.
[16] 尹洪权, 周娜, 李俊杰. 一种接触孔及DRAM的制造方法. CN: CN114093833A, 2022-02-25.
[17] 车世浩, 周娜, 李俊杰. 蜂窝状图形的制造方法以及DRAM的制造方法. CN: CN114093762A, 2022-02-25.
[18] 姜东勋, 李俊杰, 周娜, 李琳, 王佳. 一种硬掩模去除方法和DRAM的制造方法. CN: CN114068321A, 2022-02-18.
[19] 李俊杰, 罗军, 王文武, 杨涛, 李永亮, 周娜, 高建峰, 殷华湘, 张静, 洪欣. 一种半导体器件及其制备方法、电子设备. CN: CN114038911A, 2022-02-11.
[20] 高建峰, 刘卫兵, 李俊杰, 周娜, 项金娟, 杨涛, 李俊峰, 罗军. 一种半导体结构及其制备方法、三维存储器. CN: CN114023745A, 2022-02-08.
[21] 周娜, 毛海央, 李俊杰. 一种湿度传感器及其制备方法. CN: CN114018991A, 2022-02-08.
[22] 张青竹, 殷华湘, 曹磊, 张兆浩, 顾杰, 田佳佳, 李俊杰, 姚佳欣, 李永亮, 张永奎, 吴振华, 赵鸿滨, 罗军, 王文武, 屠海令, 叶甜春. 一种半导体器件的制备方法及半导体器件. CN: CN114005826A, 2022-02-01.
[23] 申相旭, 高建峰, 白国斌, 刘卫兵, 李俊杰. 一种栅极结构及其制造方法、半导体器件、芯片. CN: CN114005745A, 2022-02-01.
[24] 慎寿范, 黄元泰, 周娜, 李俊杰. 半导体器件的隔离的形成方法. CN: CN113972163A, 2022-01-25.
[25] 全宗植, 吴容哲, 周娜, 李俊杰, 杨涛, 李俊峰, 王文武. 半导体接触结构、其制作方法及半导体存储器. CN: CN113964124A, 2022-01-21.
[26] 郑宇现, 李俊杰, 李琳, 王佳, 周娜. 静电吸盘及半导体工艺设备. CN: CN113948359A, 2022-01-18.
[27] 金大镇, 李俊杰, 李琳, 王佳, 周娜. 静电卡盘、蚀刻半导体设备及晶片的安装方法. CN: CN113948438A, 2022-01-18.
[28] 金一球, 李俊杰, 周娜. 半导体存储器件及其制造方法. CN: CN113948493A, 2022-01-18.
[29] 张铉瑀, 许民, 吴容哲, 杨涛, 李俊峰, 王文武. 半导体结构、其制作方法、半导体存储器及电子设备. CN: CN113903739A, 2022-01-07.
[30] 黄元泰, 周娜, 李俊杰, 杨涛, 李俊峰, 王文武. 一种多重图形化的方法. CN: CN113808938A, 2021-12-17.
[31] 黄元泰, 叶甜春, 周娜, 李俊杰, 李琳. 圆弧形鳍顶形成方法及鳍式场效应晶体管. CN: CN113808931A, 2021-12-17.
[32] 李俊杰, 刘耀东, 罗军, 周娜, 王桂磊, 高建峰, 杨涛, 殷华湘, 赵超, 朱慧珑, 王文武. 一种二极管、探测器及探测器的制作方法. CN: CN111244193B, 2021-12-07.
[33] 甘维卓, 吴振华, 许高博, 李俊杰, 殷华湘, 郭鸿. 冷源MOS晶体管及制作方法. CN: CN113745314A, 2021-12-03.
[34] 李永亮, 李俊杰, 程晓红, 王文武",null,"王文武. 一种半导体器件及其制作方法、电子设备. CN: CN113690219A, 2021-11-23.
[35] 高建峰, 白国斌, 李俊杰, 杨涛, 李俊峰, 王文武. 一种高光谱图像传感器单片集成方法、传感器及成像设备. CN: CN110867461B, 2021-11-05.
[36] 张青竹, 殷华湘, 张兆浩, 曹磊, 李永亮, 李俊杰, 顾杰, 姚佳欣, 田佳佳, 张静, 王文武, 屠海令. 一种堆叠纳米线/片器件及其制备方法. CN: CN113540246A, 2021-10-22.
[37] 金镇泳, 李俊杰, 周娜, 杨涛, 李俊峰, 王文武. 位线结构、其制作方法、半导体存储器及电子设备. CN: CN113540027A, 2021-10-22.
[38] 金一球, 李俊杰, 周娜, 杨涛. 电容器结构及其制造方法. CN: CN113517399A, 2021-10-19.
[39] 金宗范, 周娜, 李俊杰, 杨涛, 李俊峰, 王文武. 金属刻蚀后防止金属腐蚀的方法. CN: CN113517219A, 2021-10-19.
[40] 金镇泳, 李俊杰, 范正萍, 杨涛, 李俊峰, 王文武. 半导体位线接触件的制造方法、位线的制造方法及存储器. CN: CN113517218A, 2021-10-19.
[41] 姜东勋, 李俊杰, 周娜, 杨涛, 李俊峰, 王文武. 一种具有高深宽比结构的半导体器件及其制造方法. CN: CN113517171A, 2021-10-19.
[42] 金一球, 李俊杰, 周娜, 杨涛, 李俊峰, 王文武. 集成组合件、其制作方法、半导体存储器及电子设备. CN: CN113496994A, 2021-10-12.
[43] 高建峰, 贺晓彬, 李俊杰, 王佳, 刘卫兵, 杨涛, 李俊峰, 罗军. 约瑟夫森结的制备方法及约瑟夫森结. CN: CN113380942A, 2021-09-10.
[44] 李俊杰, 徐秋霞, 周娜, 殷华湘, 贺晓彬, 李俊峰, 王文武. 纳米线围栅MOS器件及其制备方法. CN: CN108962750B, 2021-08-31.
[45] 高建峰, 李俊杰, 周娜. 一种半导体器件及其制造方法. CN: CN113299829A, 2021-08-24.
[46] 高建峰, 刘卫兵, 项金娟, 李俊杰, 周娜, 杨涛, 李俊峰. 一种二维半导体场效应管及其制备工艺、一种半导体器件. CN: CN113206091A, 2021-08-03.
[47] 张青竹, 田佳佳, 李俊杰, 吴次南, 张兆浩, 殷华湘, 刘战峰, 毛淑娟, 张静, 王文武, 屠海令. 一种纳米网的制备方法. CN: CN113173553A, 2021-07-27.
[48] 田佳佳, 张青竹, 李俊杰, 吴次南, 张兆浩, 殷华湘, 张静, 王文武. 一种垂直纳米线阵列的制备方法. CN: CN113173557A, 2021-07-27.
[49] 田佳佳, 张青竹, 殷华湘, 张兆浩, 李俊杰, 李永亮, 吴次南, 刘战峰, 毛淑娟, 王文武, 屠海令. 一种纳米线MIM阵列器件及制备方法. CN: CN113173555A, 2021-07-27.
[50] 张青竹, 殷华湘, 曹磊, 张兆浩, 田佳佳, 顾杰, 李俊杰, 姚佳欣, 李永亮, 张永奎, 吴振华, 赵鸿滨, 罗军, 王文武, 屠海令, 叶甜春. 一种Z 2 -FET器件及其制备方法、一种半导体器件. CN: CN113178489A, 2021-07-27.
[51] 吴振华, 甘维卓, 张兆浩, 张永奎, 李俊杰, 殷华湘, 朱慧珑, 郭鸿. 一种半导体器件及其制造方法、集成电路、电子设备. CN: CN112652664A, 2021-04-13.
[52] 周娜, 毛海央, 李俊杰, 高建峰, 杨涛, 李俊峰, 王文武, 陈大鹏. 一种低噪声热电堆器件的制作方法. CN: CN112563403A, 2021-03-26.
[53] 周娜, 毛海央, 高建峰, 李俊杰, 杨涛, 李俊峰, 王文武, 陈大鹏. 一种悬桥结构热电堆器件的制作方法. CN: CN112563402A, 2021-03-26.
[54] 周娜, 毛海央, 李俊杰, 高建峰, 刘洋, 李茂, 杨涛, 李俊峰, 王文武, 陈大鹏. 一种纳米森林结构的制备方法. CN: CN112520688A, 2021-03-19.
[55] 李俊杰, 周娜, 李永亮, 王桂磊, 张青竹, 杨涛, 殷华湘, 李俊峰, 王文武. 内侧墙的刻蚀方法、刻蚀气体及纳米线器件的制备方法. CN: CN110938434B, 2021-02-02.
[56] 李永亮, 程晓红, 王文武, 王文武. 一种半导体器件及其制造方法、电子设备. CN: CN112186040A, 2021-01-05.
[57] 周娜, 李俊杰, 高建峰, 杨涛, 李俊峰, 王文武. 堆叠纳米线环栅器件及其制作方法. CN: CN112151386A, 2020-12-29.
[58] 安重镒, 李相遇, 金成基, 李俊杰, 周娜. 一种电容结构及其制备方法和半导体器件. CN: CN112018090A, 2020-12-01.
[59] 周娜, 毛海央, 李俊杰, 高建峰, 杨涛, 李俊峰, 王文武, 陈大鹏. 高吸收热电堆及其制作方法. CN: CN111969098A, 2020-11-20.
[60] 周娜, 毛海央, 李俊杰, 高建峰, 杨涛, 李俊峰, 王文武, 陈大鹏. 高吸收纳米结构热电堆及其制作方法. CN: CN111964794A, 2020-11-20.
[61] 高建峰, 白国斌, 刘卫兵, 李俊杰, 杨涛, 李俊峰, 王文武. 高光谱图像传感器的单片集成方法、高光谱图像传感器及成像设备. CN: CN111952326A, 2020-11-17.
[62] 朱宁炳, 周娜, 李琳, 王佳, 李俊杰. 闸阀控制电路、抽真空设备以及真空室. CN: CN111927748A, 2020-11-13.
[63] 裴俊值, 高建峰, 李俊杰, 刘卫兵. 半导体结构及其制造方法、半导体器件、芯片. CN: CN111900145A, 2020-11-06.
[64] 崔锺武, 金成基",null,null,"李俊杰. 半导体结构及制备方法. CN: CN111900164A, 2020-11-06.
[65] 具德滋, 周娜, 李琳, 李俊杰. 晶圆转移机构、半导体制造设备以及晶圆转移方法. CN: CN111900118A, 2020-11-06.
[66] 申靖浩, 李俊杰, 周娜, 殷华湘. DRAM存储单元及其制造方法、存储单元阵列、芯片. CN: CN111883531A, 2020-11-03.
[67] 崔相龙, 周娜, 李琳, 王佳, 李俊杰. 一种半导体清洗设备及半导体清洗设备的紧急排水方法. CN: CN111799196A, 2020-10-20.
[68] 李永亮, 昝颖, 程晓红, 李俊杰, 王文武. 一种半导体器件及其制作方法、集成电路及电子设备. CN: CN111799331A, 2020-10-20.
[69] 李永亮, 李俊杰, 王文武. 一种环栅半导体器件及制作方法、电子设备. CN: CN111710718A, 2020-09-25.
[70] 李永亮, 李俊杰, 王文武. 一种鳍状半导体器件及其制作方法、电子设备. CN: CN111710716A, 2020-09-25.
[71] 李永亮, 李俊杰, 程晓红, 王文武. 一种鳍式场效应晶体管及其制作方法、电子设备. CN: CN111710713A, 2020-09-25.
[72] 金大镇, 周娜, 李琳, 王佳, 李俊杰. 一种用于转移晶圆的机器臂. CN: CN111660309A, 2020-09-15.
[73] 郑宇现, 周娜, 李俊杰, 杨涛, 李俊峰, 王文武. 厚度测量装置、系统及测量方法. CN: CN111649680A, 2020-09-11.
[74] 愼吉晟, 胡艳鹏, 李俊杰, 卢一泓, 李琳. 一种半导体机械卡盘的清洗干燥装置和方法. CN: CN111644414A, 2020-09-11.
[75] 郭炳容, 王桂磊, 孔真真, 白国斌, 李俊杰, 李琳. DRAM器件及其制造方法. CN: CN111653567A, 2020-09-11.
[76] 周娜, 高建峰, 李俊杰, 杨涛, 李俊峰. 氧化钇薄膜的形成方法及系统. CN: CN111653477A, 2020-09-11.
[77] 崔珍善, 周娜, 李琳, 李俊杰. 射频电源的谐波监控系统以及监控方法、半导体设备系统. CN: CN111600307A, 2020-08-28.
[78] 黄元泰, 周娜, 李俊杰, 李琳. 半导体加工设备、沉积钝化层方法及PRAM制作方法. CN: CN111584411A, 2020-08-25.
[79] 李相龙, 李俊杰, 李琳, 王佳. 反应腔室泄漏监测方法以及装置、半导体设备系统. CN: CN111579172A, 2020-08-25.
[80] 崔珍善, 李俊杰, 李琳, 王佳. 一种半导体工艺设备、隔离阀及控制方法. CN: CN111577913A, 2020-08-25.
[81] 金根浩, 李俊杰, 李琳, 王佳. 一种隔离阀及半导体制造装置. CN: CN111577915A, 2020-08-25.
[82] 周娜, 李俊杰, 毛海央, 高建峰, 杨涛, 李俊峰, 王文武. 热电堆及其制作方法. CN: CN111540824A, 2020-08-14.
[83] 李永亮, 刘昊炎, 程晓红, 李俊杰, 王文武. 半导体器件及其制作方法、集成电路及电子设备. CN: CN111446297A, 2020-07-24.
[84] 金根浩, 周娜, 李琳, 李俊杰. 一种反应腔室、反应腔室的控制方法及半导体加工设备. CN: CN111430281A, 2020-07-17.
[85] 金建澔, 李俊杰, 李琳, 王佳. 一种晶圆传输设备及传输控制方法. CN: CN111430288A, 2020-07-17.
[86] 周娜, 李俊杰, 高建峰, 刘耀东, 李永亮, 罗军, 赵超, 杨涛, 李俊峰, 王文武. 一种金属纳米结构及其制作方法、电子器件、电子设备. CN: CN111415902A, 2020-07-14.
[87] 李俊杰, 李晨, 朱慧珑, 周娜, 王桂磊, 李永亮, 李俊峰, 殷华湘, 王文武. 一种刻蚀方法及系统、刻蚀控制装置、电子器件及设备. CN: CN111370308A, 2020-07-03.
[88] 高建峰, 刘卫兵, 李俊杰, 杨涛, 殷华湘, 李俊峰, 王文武. 衬底键合方法、三维集成基板及电路、电子设备和芯片. CN: CN111370321A, 2020-07-03.
[89] 周娜, 李俊杰, 熊文娟, 高建峰, 杨涛, 李俊峰. 一种热电堆及其制备方法、探测器. CN: CN111207828A, 2020-05-29.
[90] 李永亮, 程晓红, 李俊杰, 马雪丽, 杨红, 王晓磊, 罗军, 王文武. 半导体器件及其制备方法、集成电路及电子设备. CN: CN111180520A, 2020-05-19.
[91] 李永亮, 程晓红, 李俊杰, 马雪丽, 杨红, 王晓磊, 罗军, 王文武. 一种半导体器件及其制备方法、集成电路及电子设备. CN: CN111180519A, 2020-05-19.
[92] 李俊杰, 周娜, 李永亮, 王桂磊, 杨涛, 殷华湘, 李俊峰, 王文武. 一种微纳通孔的制备方法及具有微纳通孔的结构. CN: CN111115561A, 2020-05-08.
[93] 高建峰, 刘卫兵, 李俊杰, 李俊峰, 王文武. 一种STT-MRAM存储器单元及其制备方法. CN: CN111081867A, 2020-04-28.
[94] 李俊杰, 李永亮, 周娜, 王桂磊, 殷华湘, 王文武, 李俊峰, 王文武. 一种鳍状结构、半导体器件及其制备方法. CN: CN111029258A, 2020-04-17.
[95] 高建峰, 李俊杰, 刘卫兵, 李俊峰, 王文武. 一种钽掩模的制备方法. CN: CN111009462A, 2020-04-14.
[96] 王桂磊, 亨利·H·阿达姆松, 孔真真, 李俊杰, 李俊峰, 殷华湘, 王文武. 一种半导体器件纳米线及其制备方法. CN: CN110896027A, 2020-03-20.
[97] 李俊杰, 刘耀东, 周娜, 王桂磊, 高建峰, 李永亮, 罗军, 赵超, 王文武. 一种金属纳米线或片的制作方法及纳米线或片. CN: CN110620033A, 2019-12-27.
[98] 高建峰, 董莹莹, 王笑宽, 周娜, 项金娟, 李俊杰, 杨涛, 李俊峰. 一种刻蚀方法及系统. CN: CN110190020A, 2019-08-30.
[99] 高建峰, 白国斌, 李俊杰, 杨涛, 李俊峰, 王文武. 一种高光谱图像传感器的单片集成方法. CN: CN110190078A, 2019-08-30.
[100] 李俊杰, 王桂磊, 李永亮, 周娜, 杨涛, 傅剑宇, 李俊峰, 殷华湘, 朱慧珑, 王文武. 一种微电极结构及其制作方法及包括该器件的电子设备. CN: CN110174453A, 2019-08-27.
[101] 李俊杰, 李永亮, 周娜, 杨涛, 张青竹, 王桂磊, 李俊峰, 吴振华, 殷华湘, 朱慧珑, 王文武. 纳米线、纳米线围栅器件以及纳米孔筛的制备方法. CN: CN110164762A, 2019-08-23.
[102] 高建峰, 李俊峰, 李俊杰, 刘卫兵, 王文武. RRAM存储单元的制备方法及RRAM存储单元. CN: CN110165051A, 2019-08-23.
[103] 李俊杰, 王桂磊, 李永亮, 周娜, 杨涛, 傅剑宇, 李俊峰, 殷华湘, 朱慧珑, 王文武. 三维固态电容器的制造方法、三维固态电容器及电子设备. CN: CN110164851A, 2019-08-23.
[104] 李俊杰, 傅剑宇, 高建峰, 周娜, 王桂磊, 李永亮, 杨涛, 李俊峰, 王文武. 一种红外吸收薄膜结构及制作方法及其电子设备. CN: CN110137275A, 2019-08-16.
[105] 李俊杰, 傅剑宇, 高建峰, 周娜, 王桂磊, 李永亮, 杨涛, 李俊峰, 王文武. 一种红外吸收薄膜及其制备方法. CN: CN110137308A, 2019-08-16.
[106] 李俊杰, 周娜, 傅剑宇, 李永亮, 杨涛, 李俊峰, 王文武. 一种各向异性刻蚀图形化聚酰亚胺层的方法. CN: CN110137073A, 2019-08-16.
[107] 李俊杰, 王文武, 吴立冬, 李永亮, 张青竹, 殷华湘, 杨涛, 傅剑宇, 李俊峰. 一种微电极及其形成方法. CN: CN110104609A, 2019-08-09.
[108] 吴振华, 李俊杰, 郭鸿, 甘维卓, 殷华湘, 朱慧珑, 王文武. 半导体器件和制作方法. CN: CN110061060A, 2019-07-26.
[109] 吴振华, 李俊杰, 郭鸿, 甘维卓",null,null,"王文武. 半导体器件和制作方法. CN: CN110061060A, 2019-07-26.
[110] 李俊杰, 王桂磊, 李永亮, 周娜, 杨涛, 傅剑宇, 李俊峰, 吴振华, 殷华湘, 朱慧珑, 王文武. 选择性刻蚀方法及纳米针尖结构的制备方法. CN: CN110002393A, 2019-07-12.
[111] 甘维卓, 张永奎, 李俊杰, 吴振华, 郭鸿, 殷华湘, 朱慧珑, 王文武. 冷源结构MOS晶体管及其制作方法. CN: CN109920842A, 2019-06-21.
[112] 李俊杰, 李永亮, 周娜, 张青竹, 王桂磊, 李俊峰, 殷华湘, 朱慧珑, 王文武. 一种纳米线围栅器件及其制造方法. CN: CN109904234A, 2019-06-18.
[113] 李永亮, 马雪丽, 李俊杰, 王晓磊, 杨红, 王文武, 李超雷. 纳米线器件的制作方法. CN: CN109830525A, 2019-05-31.
[114] 吴振华, 郭鸿, 李俊杰. MOS器件的制作方法. CN: CN109712892A, 2019-05-03.
[115] 李俊杰, 吴振华, 李永亮, 周娜, 张青竹, 王桂磊, 李俊峰, 王文武. 环栅纳米线晶体管及其制备方法. CN: CN109599335A, 2019-04-09.
[116] 李俊杰, 罗军, 王文武. 半导体器件与其制作方法. CN: CN109545748A, 2019-03-29.
[117] 罗军, 李永亮, 王文武. 半导体器件与其制作方法. CN: CN109473468A, 2019-03-15.
[118] 朱慧珑, 李俊杰, 赵超. MRAM及其制造方法及包括MRAM的电子设备. CN: CN109461756A, 2019-03-12.
[119] 李俊杰, 吴振华, 张青竹, 王文武. 包括纳米线的器件与其制作方法. CN: CN108878422A, 2018-11-23.
[120] 李俊杰, 李永亮, 王文武. 半导体器件与其制作方法. CN: CN108831926A, 2018-11-16.
[121] 李俊杰, 吴振华, 张丹, 罗军, 王文武. 一种纳米线的制作方法. CN: CN108807170A, 2018-11-13.
[122] 李俊杰, 吴振华, 李永亮, 唐波, 王红丽, 王文武. 一种纳米线沟道制作方法. CN: CN108807149A, 2018-11-13.
[123] 李俊杰, 罗军, 王文武. 半导体器件与其制作方法. CN: CN108807278A, 2018-11-13.
[124] 张青竹, 张兆浩, 殷华湘, 李俊杰, 徐忍忍. 环栅纳米线场效应晶体管及其制备方法. CN: CN108565218A, 2018-09-21.
[125] 李俊杰, 胡艳鹏, 崔虎山, 杨涛, 李俊峰. 一种ITO薄膜的图案化方法. CN: CN108520856A, 2018-09-11.
[126] 张青竹, 殷华湘, 张兆浩, 李俊杰, 徐忍忍. 环栅纳米线场效应晶体管及其制备方法. CN: CN108288647A, 2018-07-17.
[127] 张青竹, 殷华湘, 张兆浩, 李俊杰, 徐忍忍. 环栅纳米线场效应晶体管及其制备方法. CN: CN108231584A, 2018-06-29.
[128] 李俊杰, 李俊峰. 一种刻蚀方法. CN: CN105609415B, 2018-04-03.
[129] 李俊杰, 李俊峰, 杨清华, 刘金彪, 贺晓彬. 一种刻蚀方法. 中国: CN105609415B, 2018-04-03.
[130] 李俊杰, 崔虎山, 朱慧珑, 赵超. 纳米线结构的制作方法. CN: CN107342312A, 2017-11-10.
[131] 李俊杰, 李俊峰. 钒的氧化物各向异性刻蚀的方法. CN: CN107154331A, 2017-09-12.
[132] 李俊杰, 赵超, 杨涛, 李洪革. 一种神经电极结构及其制造方法. CN: CN105963857A, 2016-09-28.
[133] 高建峰, 白国斌, 李俊杰, 杨涛, 李俊峰, 王文武. 一种高光谱图像传感器的单片集成方法. CN: CN105742306A, 2016-07-06.
[134] 李俊杰, 李春龙, 刘战峰, 吕玉菲, 李俊峰, 闫江. 一种平坦化的方法. CN: CN105719964A, 2016-06-29.
[135] 李俊杰, 陈邦明, 唐波, 闫江, 徐烨锋, 许静. 一种刻蚀方法. CN: CN105609415A, 2016-05-25.
[136] 李俊杰, 孟令款, 李春龙, 洪培真, 崔虎山, 李俊峰, 赵超. 一种硅深孔刻蚀方法. CN: CN105584986A, 2016-05-18.
[137] 杨涛, 李俊杰, 李俊峰, 赵超. 一种MEMS横向刻蚀工艺的监测方法. CN: CN105347296A, 2016-02-24.
[138] 张彦召, 佘清, 陈鹏. 一种反应腔室及半导体加工设备. CN: CN105097401A, 2015-11-25.
[139] 李俊杰, 李春龙, 李俊峰, 王文武, 洪培真. 一种自对准接触孔刻蚀工艺方法. CN: CN104465493A, 2015-03-25.
[140] 周鸣. 刻蚀沟槽的方法. CN: CN102041508B, 2012-07-25.
[141] 查尔斯·W.·克伯格三世, 古川俊治, 斯蒂芬·J.·霍姆斯, 戴维·V.·霍拉克. 半导体结构及制造方法. US: CN100468751C, 2009-03-11.

出版信息

   
发表论文
[1] Weixing Huang, 朱慧珑, 李俊杰. A Novel 3D NOR Flash With Single-Crystal Silicon Channel: Devices, Integration, and Architecture. IEEE ELECTRON DEVICE LETTERS[J]. 2022, [2] 邵花, 陈睿, 李俊杰. Modeling of SiN inner spacer deposition in gate-all-around nanosheet FET process. SPIEnull. 2022, [3] Zhang, Zhaohao, Gan, Weizhuo, Li, Junjie, Kong, Zhenzhen, Han, Yanchu, Liu, Yang, Wang, Guilei, Wu, Zhenhua, Yu, Jiahan, Zhang, Qingzhu, Xu, Gaobo, Zhang, Yongkui, Xiang, Jinjuan, Yin, Huaxiang, Luo, Jun, Wang, Wenwu. Scallop-shaped p-type FinFETs with improved short-channel effects immunity and driving current. MATERIALSSCIENCEINSEMICONDUCTORPROCESSING[J]. 2022, 140: http://dx.doi.org/10.1016/j.mssp.2021.106337.
[4] Huang, Weixing, Zhu, Huilong, Zhang, Yongkui, Yin, Xiaogen, Ai, Xuezheng, Li, Junjie, Li, Chen, Li, Yangyang, Xie, Lu, Liu, Yongbo, Xiang, Jinjuan, Jia, Kunpeng, Li, Junfeng, Ye, T C. Ferroelectric Vertical Gate-All-Around Field-Effect-Transistors With High Speed, High Density, and Large Memory Window. IEEE ELECTRON DEVICE LETTERS[J]. 2022, 43(1): 25-28, [5] Du, Yong, Xu, Buqing, Wang, Guilei, Gu, Shihai, Li, Ben, Kong, Zhenzhen, Yu, Jiahan, Bai, Guobin, Li, Junjie, Wang, Wenwu, Radamson, Henry H. Growth of high-quality epitaxy of GaAs on Si with engineered Ge buffer using MOCVD. JOURNAL OF MATERIALS SCIENCE-MATERIALS IN ELECTRONICS[J]. 2021, 32(5): 6425-6437, http://dx.doi.org/10.1007/s10854-021-05360-4.
[6] Gu, Jie, Wu, Zhenhua, Zhang, Qinzhu, Yao, Jiaxin, Zhang, Zhaohao, Li, Junjie, Cai, Yuwei, Xu, Renren, Xu, Gaobo, Yin, Huaxiang, Li, Junfeng, Wang, Wenwu, Ye, Tianchun. Quantum Dot With a Diamond-Shaped Channel MOSFET on a Bulk Si Substrate. IEEE TRANSACTIONS ON ELECTRON DEVICES[J]. 2021, 68(1): 405-410, https://www.webofscience.com/wos/woscc/full-record/WOS:000602689000026.
[7] Zhang, Qingzhu, Gu, Jie, Xu, Renren, Cao, Lei, Li, Junjie, Wu, Zhenhua, Wang, Guilei, Yao, Jiaxin, Zhang, Zhaohao, Xiang, Jinjuan, He, Xiaobin, Kong, Zhenzhen, Yang, Hong, Tian, Jiajia, Xu, Gaobo, Mao, Shujuan, Radamson, Henry H, Yin, Huaxiang, Luo, Jun. Optimization of Structure and Electrical Characteristics for Four-Layer Vertically-Stacked Horizontal Gate-All-Around Si Nanosheets Devices. NANOMATERIALS[J]. 2021, 11(3): https://www.ncbi.nlm.nih.gov/pmc/articles/PMC7998492/.
[8] Liu, Haoyan, Li, Yongliang, Cheng, Xiaohong, Zan, Ying, Lu, Yihong, Wang, Guilei, Li, Junjie, Kong, Zhenzhen, Ma, Xueli, Wang, Xiaolei, Yang, Hong, Luo, Jun, Wang, Wenwu. Fabrication and selective wet etching of Si0.2Ge0.8/Ge multilayer for Si0.2Ge0.8 channel gate-all-around MOSFETs. MATERIALS SCIENCE IN SEMICONDUCTOR PROCESSING[J]. 2021, 121: http://dx.doi.org/10.1016/j.mssp.2020.105397.
[9] Zhao, Xuewei, Wang, Guilei, Lin, Hongxiao, Du, Yong, Luo, Xue, Kong, Zhenzhen, Su, Jiale, Li, Junjie, Xiong, Wenjuan, Miao, Yuanhao, Li, Haiou, Guo, Guoping, Radamson, Henry H. High Performance p-i-n Photodetectors on Ge-on-Insulator Platform. NANOMATERIALS[J]. 2021, 11(5): https://www.ncbi.nlm.nih.gov/pmc/articles/PMC8145456/.
[10] Li, Yangyang, Zhu, Huilong, Kong, Zhenzhen, Zhang, Yongkui, Ai, Xuezheng, Wang, Guilei, Wang, Qi, Liu, Ziyi, Lu, Shunshun, Xie, Lu, Huang, Weixing, Liu, Yongbo, Li, Chen, Li, Junjie, Lin, Hongxiao, Su, Jiale, Zeng, Chuanbin, Radamson, Henry H. The Effect of Doping on the Digital Etching of Silicon-Selective Silicon-Germanium Using Nitric Acids. NANOMATERIALS[J]. 2021, 11(5): http://dx.doi.org/10.3390/nano11051209.
[11] Zhang, Qingzhu, Tu, Hailing, Zhang, Zhaohao, Li, Junjie, Wei, Feng, Wang, Guilei, Han, Jiaohao, Zhao, Hongbin, Zhang, Yongkui, Li, Yongliang, Wu, Zhenhua, Gu, Jie, Xu, Renren, Bai, Guibin, Xu, Gaobo, Wei, Qianhui, Fan, Yanyan, Yan, Jiang, Li, Bo, Xu, Qiuxia, Yin, Huaxiang, Wang, Wenwu. Optimization of zero-level interlayer dielectric materials for gate-all-around silicon nanowire channel fabrication in a replacement metal gate process. MATERIALS SCIENCE IN SEMICONDUCTOR PROCESSING[J]. 2021, 121: http://dx.doi.org/10.1016/j.mssp.2020.105434.
[12] Huang, Weixing, Zhu, Huilong, Zhang, Yongkui, Li, Junjie, Ai, Xuezheng, Yin, Xiaogen, Li, Chen, Li, Yangyang, Li, Xinhao, Jia, Kunpeng, Xiang, Jinjuan, Xu, Gaobo. Investigation of ferroelectric field-effect transistors using a replacement metal gate process. SEMICONDUCTOR SCIENCE AND TECHNOLOGY[J]. 2021, 36(3): http://dx.doi.org/10.1088/1361-6641/abcee3.
[13] Cai, Yuwei, Zhang, Qingzhu, Zhang, Zhaohao, Xu, Gaobo, Wu, Zhenhua, Gu, Jie, Li, Junjie, Xiang, Jinjuan, Yin, Huaxiang. Influence of Applied Stress on the Ferroelectricity of Thin Zr-Doped HfO2 Films. APPLIED SCIENCES-BASEL[J]. 2021, 11(9): http://dx.doi.org/10.3390/app11094295.
[14] Gu, Jie, Zhang, Qingzhu, Wu, Zhenhua, Yao, Jiaxin, Zhang, Zhaohao, Zhu, Xiaohui, Wang, Guilei, Li, Junjie, Zhang, Yongkui, Cai, Yuwei, Xu, Renren, Xu, Gaobo, Xu, Qiuxia, Yin, Huaxiang, Luo, Jun, Wang, Wenwu, Ye, Tianchun. Cryogenic Transport Characteristics of P-Type Gate-All-Around Silicon Nanowire MOSFETs. NANOMATERIALS[J]. 2021, 11(2): https://www.ncbi.nlm.nih.gov/pmc/articles/PMC7911106/.
[15] Li, Junjie, Wang, Wenwu, Li, Yongliang, Zhou, Na, Wang, Guilei, Kong, Zhenzhen, Fu, Jianyu, Yin, Xiaogen, Li, Chen, Wang, Xiaolei, Yang, Hong, Ma, Xueli, Han, Jianghao, Zhang, Jing, Wei, Yijun, Hu, Tairan, Yang, Tao, Li, Junfeng, Yin, Huaxiang, Zhu, Huilong, Radamson, Henry H. Study of selective isotropic etching Si1-xGex in process of nanowire transistors. JOURNAL OF MATERIALS SCIENCE-MATERIALS IN ELECTRONICS[J]. 2020, 31(1): 134-143, http://dx.doi.org/10.1007/s10854-019-02269-x.
[16] Xie, Lu, Zhu, Huilong, Zhang, Yongkui, Ai, Xuezheng, Wang, Guilei, Li, Junjie, Du, Anyan, Kong, Zhenzhen, Yin, Xiaogen, Li, Chen, Zhao, Liheng, Li, Yangyang, Jia, Kunpeng, Li, Ben, Radamson, Henry H. Strained Si0.2Ge0.8/Ge multilayer Stacks Epitaxially Grown on a Low-/High-Temperature Ge Buffer Layer and Selective Wet-Etching of Germanium. NANOMATERIALS[J]. 2020, 10(9): http://dx.doi.org/10.3390/nano10091715.
[17] Li, Chen, Zhu, Huilong, Zhang, Yongkui, Yin, Xiaogen, Jia, Kunpeng, Li, Junjie, Wang, Guilei, Kong, Zhenzhen, Du, Anyan, Yang, Tengzhi, Zhao, Liheng, Huang, Weixing, Xie, Lu, Li, Yangyang, Ai, Xuezheng, Ma, Shishuai, Radamson, Henry H. Selective Digital Etching of Silicon-Germanium Using Nitric and Hydrofluoric Acids. ACS APPLIED MATERIALS & INTERFACES[J]. 2020, 12(42): 48170-48178, https://www.webofscience.com/wos/woscc/full-record/WOS:000584489800102.
[18] Zhou, Na, Li, Junjie, Mao, Haiyang, Liu, Hao, Liu, Jinbiao, Gao, Jianfeng, Xiang, Jinjuan, Hu, Yanpeng, Shi, Meng, Ju, Jiaxin, Lei, Yuxiao, Yang, Tao, Li, Junfeng, Wang, Wenwu. The Study of Reactive Ion Etching of Heavily Doped Polysilicon Based on HBr/O-2/He Plasmas for Thermopile Devices. MATERIALS[J]. 2020, 13(19): http://apps.webofknowledge.com/CitedFullRecord.do?product=UA&colName=WOS&SID=5CCFccWmJJRAuMzNPjj&search_mode=CitedFullRecord&isickref=WOS:000586685800001.
[19] Tang, Siqi, Yan, Jiang, Zhang, Jing, Wei, Shuhua, Zhang, Qingzhu, Li, Junjie, Fang, Min, Zhang, Shuang, Xiong, Enyi, Wang, Yanrong, Yang, Jianglan, Zhang, Zhaohao, Wei, Qianhui, Yin, Huaxiang, Wang, Wenwu, Tu, Hailing. Fabrication of Low Cost and Low Temperature Poly-Silicon Nanowire Sensor Arrays for Monolithic Three-Dimensional Integrated Circuits Applications. NANOMATERIALS[J]. 2020, 10(12): https://www.ncbi.nlm.nih.gov/pmc/articles/PMC7763022/.
[20] Zhao, Zhiqian, Cheng, Xiaohong, Li, Yongliang, Zan, Ying, Liu, Haoyan, Wang, Guilei, Du, Anyan, Li, Junjie, Zhang, Qingzhu, Xu, Gaobo, Ma, Xueli, Wang, Xiaolei, Yang, Hong, Xu, Jing, Luo, Jun, Li, JunFeng, Yin, Huaxiang, Wang, Wenwu. Investigation on the formation technique of SiGe Fin for the high mobility channel FinFET device. JOURNAL OF MATERIALS SCIENCE-MATERIALS IN ELECTRONICS[J]. 2020, 31(8): 5854-5860, https://www.webofscience.com/wos/woscc/full-record/WOS:000499978000002.
[21] Yin, Xiaogen, Zhu, Huilong, Zhao, Liheng, Wang, Guilei, Li, Chen, Huang, Weixing, Zhang, Yongkui, Jia, Kunpeng, Li, Junjie, Radamson, Henry H. Study of Isotropic and Si-Selective Quasi Atomic Layer Etching of Si1-xGex. ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY[J]. 2020, 9(3): https://www.webofscience.com/wos/woscc/full-record/WOS:000522881000001.
[22] Li, Junjie, Li, Yongliang, Zhou, Na, Xiong, Wenjuan, Wang, Guilei, Zhang, Qingzhu, Du, Anyan, Gao, Jianfeng, Kong, Zhenzhen, Lin, Hongxiao, Xiang, Jinjuan, Li, Chen, Yin, Xiaogen, Wang, Xiaolei, Yang, Hong, Ma, Xueli, Han, Jianghao, Zhang, Jing, Hu, Tairan, Cao, Zhe, Yang, Tao, Li, Junfeng, Yin, Huaxiang, Zhu, Huilong, Luo, Jun, Wang, Wenwu, Radamson, Henry H. Study of Silicon Nitride Inner Spacer Formation in Process of Gate-all-around Nano-Transistors. NANOMATERIALS[J]. 2020, 10(4): http://dx.doi.org/10.3390/nano10040793.
[23] Ma, Xueli, Wang, Xiaolei, Zhou, Lixing, Xu, Hao, Zhang, Yuanyuan, Duan, Jiahui, Xiang, Jinjuan, Yang, Hong, Li, Junjie, Li, Yongliang, Yin, Huaxiang, Wang, Wenwu. Experimental study of the ultrathin oxides on SiGe alloy formed by low-temperature ozone oxidation. MATERIALS SCIENCE IN SEMICONDUCTOR PROCESSING[J]. 2020, 107: http://dx.doi.org/10.1016/j.mssp.2019.104832.
[24] Cheng, Xiaohong, Li, Yongliang, Liu, Haoyan, Zan, Ying, Lu, Yihong, Zhang, Qingzhu, Li, Junjie, Du, Anyan, Wu, Zhenhua, Luo, Jun, Wang, Wenwu. Selective wet etching in fabricating SiGe nanowires with TMAH solution for gate-all-around MOSFETs. JOURNAL OF MATERIALS SCIENCE-MATERIALS IN ELECTRONICS[J]. 2020, 31(24): 22478-22486, https://www.webofscience.com/wos/woscc/full-record/WOS:000585906600004.
[25] Zhao, Zhiqian, Li, Yan, Zan, Ying, Li, Yongliang, Li, Junjie, Cheng, Xiaohong, Wang, Guilei, Liu, Haoyan, Wang, Hanxiang, Zhang, Qingzhu, Ma, Xueli, Wang, Xiaolei, Yang, Hong, Li, JunFeng, Luo, Jun, Yin, Huaxiang, Wang, Wenwu. Fabrication technique of the Si0.5Ge0.5 Fin for the high mobility channel FinFET device. SEMICONDUCTOR SCIENCE AND TECHNOLOGY[J]. 2020, 35(4): https://www.webofscience.com/wos/woscc/full-record/WOS:000520431400001.
[26] Radamson, Henry H, Zhu, Huilong, Wu, Zhenhua, He, Xiaobin, Lin, Hongxiao, Liu, Jinbiao, Xiang, Jinjuan, Kong, Zhenzhen, Xiong, Wenjuan, Li, Junjie, Cui, Hushan, Gao, Jianfeng, Yang, Hong, Du, Yong, Xu, Buqing, Li, Ben, Zhao, Xuewei, Yu, Jiahan, Dong, Yan, Wang, Guilei. State of the Art and Future Perspectives in Advanced CMOS Technology. NANOMATERIALSnull. 2020, 10(8): https://doaj.org/article/1e19463b7889450dac09ad0268d34ded.
[27] Li, Junjie, Li, Yongliang, Zhou, Na, Wang, Guilei, Zhang, Qingzhu, Du, Anyan, Zhang, Yongkui, Gao, Jianfeng, Kong, Zhenzhen, Lin, Hongxiao, Xiang, Jinjuan, Li, Chen, Yin, Xiaogen, Li, Yangyang, Wang, Xiaolei, Yang, Hong, Ma, Xueli, Han, Jianghao, Zhang, Jing, Hu, Tairan, Yang, Tao, Li, Junfeng, Yin, Huaxiang, Zhu, Huilong, Wang, Wenwu, Radamson, Henry H. A Novel Dry Selective Isotropic Atomic Layer Etching of SiGe for Manufacturing Vertical Nanowire Array with Diameter Less than 20 nm. MATERIALS[J]. 2020, 13(3): https://doaj.org/article/6d27beb2892d4c24b1b1988ad2b46a06.
[28] Xu Gaobo, Yin Huaxiang, Xu Qiuxia, Tao Guilong, Wu Zhenhua, Bo Jianhui, Bi Jinshun, Li Yongliang, Zhou Huajie, Shang Haiping, Liu Jinbiao, Li Junjie, Xiong Wenjuan, Li Junfeng, Zhu Huilong, Zhao Chao, Wang Wenwu, Claeys C, Huang R, Wu H, Lin Q, Liang S, Song P, Guo Z, Lai K, Zhang Y, Qu X, Lung HL, Yu W. A High-Performance Source-Pocket Tunnel Field-Effect Transistor. 2019 CHINA SEMICONDUCTOR TECHNOLOGY INTERNATIONAL CONFERENCE (CSTIC)null. 2019, http://apps.webofknowledge.com/CitedFullRecord.do?product=UA&colName=WOS&SID=5CCFccWmJJRAuMzNPjj&search_mode=CitedFullRecord&isickref=WOS:000490874500022.
[29] Zhao, Zhiqian, Li, Yongliang, Gu, Shihai, Zhang, Qingzhu, Wang, Guilei, Li, Junjie, Li, Yan, Xu, Gaobo, Ma, Xueli, Wang, Xiaolei, Yang, Hong, Lu, Jun, Li, JunFeng, Yin, Huaxiang, Wang, Wenwu. High crystal quality strained Si0.5Ge0.5 layer with a thickness of up to 50 nm grown on the three-layer SiGe strain relaxed buffer. MATERIALS SCIENCE IN SEMICONDUCTOR PROCESSING[J]. 2019, 99: 159-164, http://dx.doi.org/10.1016/j.mssp.2019.04.033.
[30] Zhou, Na, Li, Junjie, Radamson, Henry, Li, Lin, Jiang, Qifeng, Li, Junfeng. Deep silicon etching for thermopile structures using a modified Bosch process. JOURNAL OF MICRO-NANOLITHOGRAPHY MEMS AND MOEMS[J]. 2019, 18(2): http://dx.doi.org/10.1117/1.JMM.18.2.024501.
[31] Zhang, Zhaohao, Xu, Gaobo, Zhang, Qingzhu, Hou, Zhaozhao, Li, Junjie, Kong, Zhenzhen, Zhang, Yongkui, Xiang, Jinjuan, Xu, Qiuxia, Wu, Zhenhua, Zhu, Huilong, Yin, Huaxiang, Wang, Wenwu, Ye, Tianchun. FinFET With Improved Subthreshold Swing and Drain Current Using 3-nm Ferroelectric Hf0.5Zr0.5O2. IEEE ELECTRON DEVICE LETTERS[J]. 2019, 40(3): 367-370, [32] Radamson, Henry H, He, Xiaobin, Zhang, Qingzhu, Liu, Jinbiao, Cui, Hushan, Xiang, Jinjuan, Kong, Zhenzhen, Xiong, Wenjuan, Li, Junjie, Gao, Jianfeng, Yang, Hong, Gu, Shihai, Zhao, Xuewei, Du, Yong, Yu, Jiahan, Wang, Guilei. Miniaturization of CMOS. MICROMACHINES[J]. 2019, 10(5): https://doaj.org/article/d397c826ee564bb4b63ddc6b6ca98977.
[33] Cao, Kaihua, Cui, Hushan, Zhang, Youguang, Xiong, Huagang, Wei, Jiaqi, Wang, Lezhi, Cai, Wenlong, Liu, Yizheng, Liu, Pan, He, Xiaobin, Li, Junjie, Bai, Guobin, Yu, Jiahan, Han, Jianghao, Gao, Jianfeng, Jiang, Qifeng, Hu, Yanpeng, Li, Lin, Tang, Bo, Zhang, Yue, Zhang, Peng, Zhang, Qihui, Liu, Shi, Lu, Yihong, Yang, Tao, Li, Junfeng, Radamson, Henry H, Zhao, Chao, Zhao, Weisheng. Novel metallization processes for sub-100 nm magnetic tunnel junction devices. MICROELECTRONIC ENGINEERING[J]. 2019, 209: 6-9, http://dx.doi.org/10.1016/j.mee.2019.01.010.
[34] Cao, Kaihua, Cai, Wenlong, Liu, Yizheng, Li, Huisong, Wei, Jiaqi, Cui, Hushan, He, Xiaobin, Li, Junjie, Zhao, Chao, Zhao, Weisheng. In-memory direct processing based on nanoscale perpendicular magnetic tunnel junctions. NANOSCALE[J]. 2018, 10(45): 21225-21230, https://www.webofscience.com/wos/woscc/full-record/WOS:000451738900031.
[35] Li, Junjie, Jing, Xiunian, Gu, Changzhi. Technical Support Services at the Institute of Physics. SCIENCEnull. 2018, 360(6389): 59-60, https://www.webofscience.com/wos/woscc/full-record/WOS:000439081200019.
[36] 曹志军, 张青竹, 吴次南, 闫江, 王桂磊, 李俊杰, 张兆浩, 殷华湘, 余金中, 李志华. 面向5 nm CMOS技术代堆叠纳米线释放工艺研究. 真空科学与技术学报[J]. 2018, 38(2): 121-126, http://lib.cqvip.com/Qikan/Article/Detail?id=674742416.
[37] Fu, Jianyu, Li, Junjie, Yu, Jiahan, Liu, Ruiwen, Li, Junfeng, Wang, Weibing, Wang, Wenwu, Chen, Dapeng. Improving sidewall roughness by combined RIE-Bosch process. MATERIALS SCIENCE IN SEMICONDUCTOR PROCESSING[J]. 2018, 83: 186-191, http://dx.doi.org/10.1016/j.mssp.2018.04.033.
[38] Zhang, Qingzhu, Tu, Hailing, Yin, Huaxiang, Wei, Feng, Li, Junjie, Meng, Lingkuan, Zhang, Zhaohao, Yan, Jiang, Zhao, Hongbin, Ma, Tongda, Zhou, Zhangyu, Fan, Yanyan, Du, Jun. Influence of the hard masks profiles on formation of nanometer Si scalloped fins arrays. MICROELECTRONIC ENGINEERING[J]. 2018, 198: 48-54, http://dx.doi.org/10.1016/j.mee.2018.07.001.
[39] Zhang, Qingzhu, Yin, Huaxiang, Meng, Lingkuan, Yao, Jiaxin, Li, Junjie, Wang, Guilei, Li, Yudong, Wu, Zhenhua, Xiong, Wenjuan, Yang, Hong, Tu, Hailing, Li, Junfeng, Zhao, Chao, Wang, Wenwu, Ye, Tianchun. Novel GAA Si Nanowire p-MOSFETs With Excellent Short-Channel Effect Immunity via an Advanced Forming Process. IEEE ELECTRON DEVICE LETTERS[J]. 2018, 39(4): 464-467, https://www.webofscience.com/wos/woscc/full-record/WOS:000428689000001.
[40] Fu, Jianyu, Li, Junjie, He, Xiaobin, Yu, Jiahan, Zhou, Na, Jiang, Qifeng, Li, Junfeng, Wang, Weibing, Zhao, Chao. Fabricating metal structures with taper angles and smooth sidewalls. JOURNAL OF MICROMECHANICS AND MICROENGINEERING[J]. 2017, 27(12): https://www.webofscience.com/wos/woscc/full-record/WOS:000414673800002.
[41] Radamson, Henry H, Zhang, Yanbo, He, Xiaobin, Cui, Hushan, Li, Junjie, Xiang, Jinjuan, Liu, Jinbiao, Gu, Shihai, Wang, Guilei. The Challenges of Advanced CMOS Process from 2D to 3D. APPLIED SCIENCES-BASEL[J]. 2017, 7(10): https://doaj.org/article/4313c1810c514d67bb1f5881b69764ff.
[42] Henry H Radamson, Yanbo Zhang, Xiaobin He, Hushan Cui, Junjie Li, Jinjuan Xiang, Jinbiao Liu, Shihai Gu, Guilei Wang. The Challenges of Advanced CMOS Process from 2D to 3D. APPLIED SCIENCES[J]. 2017, 7(10): https://doaj.org/article/4313c1810c514d67bb1f5881b69764ff.
[43] 王桂磊, 罗军, 秦长亮, 崔虎山, 刘金彪, 贾昆鹏, 李俊杰, 杨涛, 李俊峰, 殷华湘, 赵超, 叶甜, Henry Homayoun Radamson, 闫江, 赵超, 叶甜春. Integration of Selective Epitaxial Growth of SiGe/Ge layers in 14nm Node FinFETs. ECS TRANSACTIONS[J]. 2016, http://159.226.55.106/handle/172511/16224.
[44] Meng, Lingkuan, He, Xiaobin, Gao, Jianfeng, Li, Junjie, Wei, Yayi, Yan, Jiang. A Novel Nanofabrication Technique of Silicon-Based Nanostructures. NANOSCALE RESEARCH LETTERS[J]. 2016, 11(1): http://159.226.55.106/handle/172511/16217.
[45] 孟令款, 洪培真, 贺晓彬, 李春龙, 李俊杰, 李俊峰, 赵超, 韦亚一, 闫江. Gate patterning in 14 nm and beyond nodes: from planar devices to three dimensional Finfet devicesLingkuan. APPLIED SURFACE SCIENCE[J]. 2016, http://159.226.55.106/handle/172511/16218.
[46] Zhang Qingzhu, Yin Huaxiang, Luo Jun, Yang Hong, Meng Lingkuan, Li Yudong, Wu Zhenhua, Zhang Yanbo, Zhang Yongkui, Qin Zhangliang, Li Junjie, Gao Jianfeng, Wang Guilei, Xiong Wenjuan, Xiang Jinjuan, Zhou Zhangyu, Mao Shujuan, Xu Gaobo, Liu Jinbiao, Yang Tao. FOI FinFET with Ultra-low Parasitic Resistance Enabled by Fully Metallic Source and Drain Formation on Isolated Bulk-Fin. 2016 IEEE International Electron Devices Meeting: IEDM 2016, San Francisco, California, USA, 3-7 December 2016, pages 452-929, v.2null. 2016, 452-455, http://159.226.55.106/handle/172511/16336.
[47] Meng, Lingkuan, Hong, Peizhen, He, Xiaobin, Li, Chunlong, Li, Junjie, Li, Junfeng, Zhao, Chao, Wei, Yayi, Yan, Jiang. Gate patterning in 14 nm and beyond nodes: from planar devices to three dimensional Finfet devices. APPLIED SURFACE SCIENCE[J]. 2016, 362: 483-489, http://dx.doi.org/10.1016/j.apsusc.2015.11.139.
[48] 崔虎山, 贺晓彬, 李俊杰, 韩江浩, 姜齐风, 赵超. Damage free removal of nano-particles with DUAL-FLUID spray nozzle cleaning. 2016, http://159.226.55.106/handle/172511/16337.
[49] Chen, Wenhui, Luo, Jun, Meng, Lingkuan, Li, Junjie, Xiang, Jinjuan, Li, Junfeng, Wang, Wenwu, Chen, Dapeng, Ye, Tianchun, Zhao, Chao. Atomic layer deposition assisted pattern transfer technology for ultra-thin block copolymer films. THIN SOLID FILMS[J]. 2016, 613: 32-37, http://dx.doi.org/10.1016/j.tsf.2015.10.032.
[50] Zhang, Yanbo, Zhu, Huilong, Wu, Hao, Zhang, Yongkui, Zhao, Zhiguo, Zhong, Jian, Yang, Hong, Liang, Qingqing, Wang, Dahai, Li, Junfeng, Jia, Cheng, Liu, Jinbiao, Zhao, Yuyin, Li, Chunlong, Meng, Lingkuan, Hong, Peizhen, Li, Junjie, Xu, Qiang, Gao, Jianfeng, He, Xiaobin, Lu, Yihong, Zhang, Yue, Yang, Tao, Wang, Yao, Cui, Hushan, Zhao, Chao, Yin, Huaxiang, Zhong, Huicai, Yin, Haizhou, Yan, Jiang, Wang, Wenwu, Chen, Dapeng, Yu, Hongyu, Yang, Simon, Ye, Tianchun. Planar Bulk MOSFETs With Self-Aligned Pocket Well to Improve Short-Channel Effects and Enhance Device Performance. IEEE TRANSACTIONS ON ELECTRON DEVICES[J]. 2015, 62(5): 1411-1418, http://www.irgrid.ac.cn/handle/1471x/1091057.
[51] 明希, 殷华湘, 孟令款, 李俊杰, 贾云丛, 李贞杰, 袁烽, 江晓山, 刘鹏, 陈大鹏. 单型掺杂柱电极的3D硅像素探测器的器件与制造工艺研究. 半导体光电[J]. 2015, 36(2): 197-201, http://www.irgrid.ac.cn/handle/1471x/1091064.
[52] Meng, Lingkuan, Gao, Jianfeng, He, Xiaobin, Li, Junjie, Wei, Yayi, Yan, Jiang. CMOS-Compatible Top-Down Fabrication of Periodic SiO2 Nanostructures using a Single Mask. NANOSCALE RESEARCH LETTERS[J]. 2015, 10(1): http://www.irgrid.ac.cn/handle/1471x/1091085.
[53] Meng, Lingkuan, He, Xiaobin, Gao, Jianfeng, Li, Junjie, Wei, Yayi, Yan, Jiang. A straightforward and CMOS-compatible nanofabrication technique of periodic SiO2 nanohole arrays. NANOTECHNOLOGY[J]. 2015, 26(41): http://www.irgrid.ac.cn/handle/1471x/1091084.
[54] Zhao, Lichuan, Tang, Zhaoyun, Tang, Bo, Ma, Xueli, Liu, Jinbiao, Xiang, Jinjuan, Gao, Jianfeng, Li, Chunlong, He, Xiaobin, Jia, Cheng, Ding, Mingzheng, Yang, Hong, Xu, Yefeng, Xu, Jing, Wang, Hongli, Liu, Peng, Hong, Peizhen, Meng, Lingkuan, Li, Tingting, Xiong, Wenjuan, Wu, Hao, Li, Junjie, Wang, Guilei, Yang, Tao, Cui, Hushan, Lu, Yihong, Tong, Xiaodong, Luo, Jun, Zhong, Jian, Xu, Qiang, Wang, Wenwu, Li, Junfeng, Zhu, Huilong, Zhao, Chao, Yan, Jiang, Chen, Dapeng, Yang, Simon, Ye, Tianchun. Mitigation of Reverse Short-Channel Effect With Multilayer TiN/Ti/TiN Metal Gates in Gate Last PMOSFETs. IEEE ELECTRON DEVICE LETTERS[J]. 2014, 35(8): 811-813, http://dx.doi.org/10.1109/LED.2014.2331356.
[55] Meng, Lingkuan, He, Xiaobin, Li, Chunlong, Li, Junjie, Hong, Peizhen, Li, Junfeng, Zhao, Chao, Yan, Jiang. Transistor gate line roughness formation and reduction in sub-30-nm gate patterning using multilayer hard mask structure. JOURNAL OF MICRO-NANOLITHOGRAPHY MEMS AND MOEMS[J]. 2014, 13(3): https://www.webofscience.com/wos/woscc/full-record/WOS:000342545000013.

科研活动

   
科研项目
( 1 ) 环栅(GAA)纳米片器件干法释放功能开发, 负责人, 中国科学院计划, 2022-01--2023-12
( 2 ) 中国科学院支撑人才(工程类), 负责人, 中国科学院计划, 2022-01--2025-12
( 3 ) SiGe选择性刻蚀, 负责人, 企业委托, 2022-12--2024-06

指导学生

现指导学生

刘恩序  硕士研究生  085400-电子信息  

杨超然  硕士研究生  085400-电子信息