基本信息
尚德龙  男  博导  中国科学院微电子研究所
电子邮件: shangdelong@ime.ac.cn
通信地址: 中国科学院微电子研究所
邮政编码:

招生信息

   
招生专业
080902-电路与系统
140100-集成电路科学与工程
080903-微电子学与固体电子学
招生方向
类脑芯片
类脑计算机架构
异步电路及低功耗设计

教育背景

1999-10--2001-06   英国纽卡斯尔大学   博士
1998-08--1999-08   英国纽卡斯尔大学   国家高级访问学者
1986-09--1988-12   中国科学院沈阳计算技术研究所   硕士
1982-09--1986-07   南京大学   学士

工作经历

   
工作简历
2019-05~现在, 中国科学院微电子研究所, 研究员
2017-03~2019-05,英国曼切斯特大学, 大学聘高级研究员
2009-09~2017-02,英国纽卡斯尔大学, 低功耗微系统实验室主任
2007-09~2009-08,英国纽卡斯尔大学, 高级研究员
2001-07~2007-08,英国纽卡斯尔大学, 研究员
1999-10~2001-06,英国纽卡斯尔大学, 博士
1998-08~1999-08,英国纽卡斯尔大学, 国家高级访问学者
1996-09~2001-06,中国科学院沈阳计算技术研究所, 研究员
1994-09~1996-08,中国科学院沈阳计算技术研究所, 副研究员
1988-12~1994-08,中国科学院沈阳计算技术研究所, 助理研究员
1986-09~1988-12,中国科学院沈阳计算技术研究所, 硕士
1982-09~1986-07,南京大学, 学士
社会兼职
2023-01-01-2023-07-21,ASYNC 2023 会议, Public Chair

教授课程

SoC Design
ARM processor

专利与奖励

   
奖励信息
(1) 华为海思网络创新大赛(华为内部), 其他, 2022
(2) 南京市2022年度科技创新成果十大典型案例, 特等奖, 市地级, 2022
(3) 2021年度第四届中国研究生创芯大赛华为芯片设计大赛, 一等奖, 国家级, 2021
(4) Best Poster Award, 一等奖, 其他, 2021
(5) 2021年度第四届中国研究生创芯大赛, 二等奖, 国家级, 2021
(6) Best Paper Awards, 一等奖, 其他, 2017
(7) 英国大学发明创新奖, 一等奖, 研究所(学校), 2012
(8) 计算机和数字技术年度奖, 一等奖, 部委级, 2005
(9) 中国科学院科技进步三等奖, 三等奖, 部委级, 1999
(10) 国家八五科技重大成果奖, 特等奖, 国家级, 1996
(11) The first prize of Mentor Graphics’ 10th anniversary PCB&MCM technology leadership award, 一等奖, 部委级, 1995
(12) 中国科学院科技进步一等奖, 一等奖, 部委级, 1993

出版信息

   
发表论文
(1) Modelling Switched-Capacitor DC-DC Converters with Signal Transition Graphs, 15th International Conference on Synthesis, Modeling, Analysis and Simulation Methods and Applications to Circuit Design, IEEE, 2018, 第 1 作者
(2) Approximate Fixed-Point Elementary Function Accelerator for the SpiNNaker-2 Neuromorphic Chip, 2018 IEEE 25TH SYMPOSIUM ON COMPUTER ARITHMETIC (ARITH), 2018, 第 3 作者
(3) Model-based design of asynchronous controllers for flexible on-chip power buffers, the 9th International Workshop on Frontiers in Analog CAD, IEEE, 2018, 第 1 作者
(4) Software-defined PMC for runtime power management of a many-core neuromorphic platform, the 12th IEEE International Conference on Computer Engineering and Systems, 2017, 第 1 作者
(5) A Smart All-Digital Charge to Digital Converter, 23RD IEEE INTERNATIONAL CONFERENCE ON ELECTRONICS CIRCUITS AND SYSTEMS (ICECS 2016), 2016, 
(6) Concurrent Multiresource Arbiter: Design and Applications, IEEE TRANSACTIONS ON COMPUTERS, 2013, 第 2 作者
(7) Reference Free Voltage Sensing Using an Asynchronous Charge-to-Digital Converter for Energy Harvesting Systems, IEEE Journal on Emerging and Selected Topics in Circuits and Systems, 2013, 第 1 作者
(8) Towards power-elastic systems through concurrency management, IETCOMPUTERSANDDIGITALTECHNIQUES, 2012, 
(9) Self-Timed SRAM for Energy Harvesting Systems, JOURNAL OF LOW POWER ELECTRONICS, 2011, 通讯作者
(10) A Novel Power Delivery Method for Asynchronous Loads in Energy Harvesting Systems, ACM JOURNAL ON EMERGING TECHNOLOGIES IN COMPUTING SYSTEMS, 2011, 第 2 作者
(11) Monitoring circuit based on threshold for fault-tolerant NoC, ELECTRONICS LETTERS, 2010, 
(12) Fine-grain Stochastic Modeling of Dynamic Power Management Policies and Analysis of Their Power-Latency Tradeoffs, IET Software, 2009, 第 1 作者
(13) Phase-encoding for on-chip signalling, IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I-REGULAR PAPERS, 2008, 第 2 作者
(14) Register for Phase Difference Based Logic, IEEETRANSACTIONSONVLSI, 2007, 第 1 作者
(15) Dynamic global security-aware synthesis using SystemC, IET COMPUTERS AND DIGITAL TECHNIQUES, 2007, 
(16) High-security asynchronous circuit implementation of AES, IEE PROCEEDINGS-COMPUTERS AND DIGITAL TECHNIQUES, 2006, 
(17) Asynchronous system synthesis based on direct mapping using VHDL and Petri nets, IEE PROCEEDINGS-COMPUTERS AND DIGITAL TECHNIQUES, 2004, 
(18) Scheduling and allocation using closeness tables, IEE PROCEEDINGS-COMPUTERS AND DIGITAL TECHNIQUES, 2004, 
(19) Data Communication in System with Heterogeneous Timing, IEEEMICRO, 2002, 第 1 作者
发表著作
Asynchronous Communication Circuits: Design, Test, and Synthesis, British Library, 2003-03, 第 1 作者
Synchronization and Arbitration in Digital Systems, WILEY Publisher, 2008-01, 第 其他 作者
Integrated Circuits and System Design Power and Timing Modeling, Operatization and Simulation, Springer, 2013-02, 第 2 作者
SpiNNaker:A Spiking Neural Network Architecture, NOW Publisher, 2021-01, 第 其他 作者

科研活动

   
科研项目
( 1 ) 类脑芯片及类脑计算机, 负责人, 研究所自主部署, 2019-05--2022-05
( 2 ) 基于STT-MRAM神经形态计算架构及芯片研究, 负责人, 国家任务, 2020-11--2023-12
( 3 ) 异构融合类脑计算研究平台, 负责人, 国家任务, 2022-01--2026-12
( 4 ) 片上异步消息总线合作项目, 负责人, 境内委托项目, 2021-07--2023-06