基本信息
陈大鹏  男  博导  中国科学院微电子研究所
电子邮件: dpchen@ime.ac.cn
通信地址: 北京市朝阳区北土城西路3号
邮政编码: 100029

招生信息

   
招生专业
080903-微电子学与固体电子学
招生方向
集成电路先导工艺技术
MEMS传感器技术
物联网工程与技术

工作经历

   
工作简历
1998-07~2028-10,中科院微电子所, 研究员

专利与奖励

   
奖励信息
(1) 中科院科技促进发展奖, 部委级, 2020
(2) 22-14纳米集成电路器件工艺先导技术, 二等奖, 国家级, 2017
(3) 22-14纳米集成电路器件工艺先导技术, 一等奖, 部委级, 2016
(4) 中国科学院杰出成就奖, , 部委级, 2014
专利成果
( 1 ) 一种压电式压力传感器, 2023, 第 4 作者, 专利号: CN116678549A

( 2 ) 电子芯片以及电子器件, 2023, 第 5 作者, 专利号: CN111952261B

( 3 ) 硅基探测器及其制作方法, 2022, 第 6 作者, 专利号: CN114927589A

( 4 ) 一种绝压式压阻传感系统及其自测试方法, 2022, 第 4 作者, 专利号: CN114689221A

( 5 ) 感测装置及对应的测试方法, 2022, 第 4 作者, 专利号: CN114689223A

( 6 ) 一种差压式MEMS压阻传感器及其自测试方法, 2022, 第 4 作者, 专利号: CN114689224A

( 7 ) 感测装置及对应的测试方法, 2022, 第 4 作者, 专利号: CN114689222A

( 8 ) 一种绝压式MEMS压阻传感器及其自测试方法, 2022, 第 4 作者, 专利号: CN114689225A

( 9 ) 一种差压式压阻传感系统及其自测试方法, 2022, 第 4 作者, 专利号: CN114689219A

( 10 ) 一种硅像素探测器及其制备方法, 2022, 第 6 作者, 专利号: CN114156292A

( 11 ) 一种MEMS压阻传感器的内建自测试装置及测试方法, 2021, 第 4 作者, 专利号: CN111238698B

( 12 ) 热电集成散热模块, 2021, 第 5 作者, 专利号: CN113517242A

( 13 ) 热管理监控模块, 2021, 第 4 作者, 专利号: CN113257762A

( 14 ) 一种低噪声热电堆器件的制作方法, 2021, 第 8 作者, 专利号: CN112563403A

( 15 ) 一种悬桥结构热电堆器件的制作方法, 2021, 第 8 作者, 专利号: CN112563402A

( 16 ) 一种纳米森林结构的制备方法, 2021, 第 10 作者, 专利号: CN112520688A

( 17 ) 高吸收热电堆及其制作方法, 2020, 第 8 作者, 专利号: CN111969098A

( 18 ) 高吸收纳米结构热电堆及其制作方法, 2020, 第 8 作者, 专利号: CN111964794A

( 19 ) 电子芯片以及电子器件, 2020, 第 5 作者, 专利号: CN111952261A

( 20 ) 一种二极管型非制冷红外探测器及其制备方法, 2020, 第 2 作者, 专利号: CN108254082B

( 21 ) 一种氮化硅薄膜制备方法, 2020, 第 6 作者, 专利号: CN107749394B

( 22 ) 一种微流道散热结构、制造方法及电子器件, 2019, 第 5 作者, 专利号: CN110364501A

( 23 ) 一种强制对流微流道散热结构、制造方法及电子器件, 2019, 第 5 作者, 专利号: CN110335854A

( 24 ) 流量信号的补偿方法、装置、存储介质、处理器和系统, 2019, 第 5 作者, 专利号: CN110057419A

( 25 ) 流量信号的补偿方法、装置、存储介质、处理器和系统, 2019, 第 5 作者, 专利号: CN109696216A

( 26 ) 一种基于V2X的自动驾驶车辆定位方法及装置, 2018, 第 4 作者, 专利号: CN108919320A

( 27 ) 微型湿度传感器, 2018, 第 7 作者, 专利号: CN108896612A

( 28 ) 电读出非制冷红外探测器的测试电路与方法, 2018, 第 6 作者, 专利号: CN108844639A

( 29 ) 一种自动驾驶车辆辅助定位方法及装置, 2018, 第 4 作者, 专利号: CN108680940A

( 30 ) 胎压传感装置与车胎, 2018, 第 6 作者, 专利号: CN108608815A

( 31 ) 封闭结构、其制作方法与器件, 2018, 第 5 作者, 专利号: CN108609574A

( 32 ) 一种车辆运动状态信息延时补偿方法和装置, 2018, 第 4 作者, 专利号: CN108519738A

( 33 ) 微型真空计及其工作方法, 2018, 第 7 作者, 专利号: CN108387341A

( 34 ) 纳米线阵列围栅MOSFET结构及其制作方法, 2018, 第 8 作者, 专利号: CN108364910A

( 35 ) 半导体器件及其制造方法, 2018, 第 3 作者, 专利号: CN103579314B

( 36 ) 一种二极管型非制冷红外探测器及其制备方法, 2018, 第 2 作者, 专利号: CN108254082A

( 37 ) N型MOSFET的制作方法, 2018, 第 5 作者, 专利号: CN108039368A

( 38 ) 一种基于图像的目标检测方法及装置, 2018, 第 5 作者, 专利号: CN107958255A

( 39 ) 半导体CMOS器件的制作方法, 2018, 第 5 作者, 专利号: CN107910298A

( 40 ) P型MOSFET的制作方法, 2018, 第 5 作者, 专利号: CN107749398A

( 41 ) 一种目标检测方法及系统, 2018, 第 5 作者, 专利号: CN107545270A

( 42 ) 一种集成电路元器件的工艺方法, 2018, 第 1 作者, 专利号: CN107546174A

( 43 ) 一种基于车联网的车辆防碰撞方法和系统, 2017, 第 2 作者, 专利号: CN107346612A

( 44 ) 一种连续太赫兹波成像系统及其方法, 2017, 第 5 作者, 专利号: CN107064050A

( 45 ) 用于车辆控制器的图形用户界面, 2017, 第 5 作者, 专利号: CN304231715S

( 46 ) 半导体器件及其制造方法, 2017, 第 4 作者, 专利号: CN103681329B

( 47 ) 一种MEMS红外光源及其制作方法, 2017, 第 5 作者, 专利号: CN106629574A

( 48 ) 一种MEMS红外光源及其制作方法, 2017, 第 5 作者, 专利号: CN106629577A

( 49 ) 编队行驶的通信路径的确定方法、系统及编队行驶方法, 2017, 第 5 作者, 专利号: CN106643769A

( 50 ) 一种晶圆级传感器气密性检测装置及方法, 2017, 第 4 作者, 专利号: CN106595975A

( 51 ) 一种新能源汽车电控系统及方法, 2017, 第 2 作者, 专利号: CN106601000A

( 52 ) 一种晶圆级传感器气密性检测装置及方法, 2017, 第 4 作者, 专利号: CN106546387A

( 53 ) 堆叠纳米线MOS晶体管制作方法, 2017, 第 5 作者, 专利号: CN106531632A

( 54 ) 悬浮结构的MEMS红外光源, 2017, 第 8 作者, 专利号: CN206014405U

( 55 ) 基于湿法预释放结构的MEMS红外光源, 2017, 第 5 作者, 专利号: CN206014406U

( 56 ) 一种X射线传感器及其制造方法, 2017, 第 4 作者, 专利号: CN106486502A

( 57 ) 集成纳米结构的MEMS红外光源及其制备方法, 2017, 第 5 作者, 专利号: CN106374019A

( 58 ) 一种避撞系统、避撞方法及机动车辆, 2017, 第 6 作者, 专利号: CN106314428A

( 59 ) 一种X射线传感器及其制造方法, 2017, 第 4 作者, 专利号: CN106328661A

( 60 ) 一种故障监测及位置显示方法、系统及机动车辆, 2017, 第 6 作者, 专利号: CN106302807A

( 61 ) 悬浮结构的MEMS红外光源及其制备方法, 2017, 第 8 作者, 专利号: CN106276773A

( 62 ) 一种基于阵列式点压的晶圆键合方法, 2017, 第 6 作者, 专利号: CN106298452A

( 63 ) 半导体器件及其制造方法, 2016, 第 5 作者, 专利号: CN103456782B

( 64 ) 基于湿法预释放结构的MEMS红外光源及其制备方法, 2016, 第 5 作者, 专利号: CN106185784A

( 65 ) 集成纳米结构的薄膜型MOS气体传感器, 2016, 第 3 作者, 专利号: CN205643233U

( 66 ) 太赫兹焦平面阵列及检测与成像装置, 2016, 第 6 作者, 专利号: CN105987757A

( 67 ) 一种阻止金属共晶键合合金外溢的方法及一种器件, 2016, 第 6 作者, 专利号: CN105762088A

( 68 ) 半导体结构及其制造方法, 2016, 第 3 作者, 专利号: CN103383962B

( 69 ) 一种基于硅通孔结构的金属填充方法及硅通孔结构, 2016, 第 7 作者, 专利号: CN105679703A

( 70 ) 集成纳米结构的薄膜型MOS气体传感器及其制作方法, 2016, 第 3 作者, 专利号: CN105606661A

( 71 ) 微机械热电堆红外探测器及其制作方法, 2015, 第 9 作者, 专利号: CN102757011B

( 72 ) 太赫兹焦平面阵列及检测与成像装置, 2015, 第 6 作者, 专利号: CN204405189U

( 73 ) 一种振动能量采集器及其形成方法, 2015, 第 4 作者, 专利号: CN104638975A

( 74 ) 一种单粒子效应试验芯片的开孔方法, 2015, 第 6 作者, 专利号: CN104425238A

( 75 ) 一种宽频振动能量采集器结构及其制造方法, 2015, 第 4 作者, 专利号: CN104426424A

( 76 ) 鳍型场效应晶体管及其制造方法, 2015, 第 4 作者, 专利号: CN104282571A

( 77 ) 交通信息的采集、处理、发布方法及装置、系统, 2014, 第 2 作者, 专利号: CN104252778A

( 78 ) 应力匹配的悬臂梁结构及其制造方法, 2014, 第 5 作者, 专利号: CN104229726A

( 79 ) 透明衬底探测器及其制造方法, 2014, 第 5 作者, 专利号: CN103913244A

( 80 ) 一种液晶滤波方法、液晶滤波器和空间滤波系统, 2014, 第 3 作者, 专利号: CN103913791A

( 81 ) 半导体器件的制造方法, 2014, 第 5 作者, 专利号: CN103855016A

( 82 ) P型MOSFET的制造方法, 2014, 第 5 作者, 专利号: CN103855007A

( 83 ) CMOS制造方法, 2014, 第 3 作者, 专利号: CN103779276A

( 84 ) CMOS制造方法, 2014, 第 3 作者, 专利号: CN103779275A

( 85 ) 堆叠纳米线MOS晶体管制作方法, 2014, 第 5 作者, 专利号: CN103730366A

( 86 ) 一种非制冷红外成像焦平面阵列探测器, 2014, 第 5 作者, 专利号: CN103728025A

( 87 ) 一种非制冷红外成像焦平面阵列探测器, 2014, 第 5 作者, 专利号: CN103728025A

( 88 ) 透明衬底探测器芯片的封装方法及其封装结构, 2014, 第 3 作者, 专利号: CN103663356A

( 89 ) 一种非制冷红外成像焦平面阵列探测器, 2014, 第 5 作者, 专利号: CN103630246A

( 90 ) 一种非制冷红外成像焦平面阵列探测器, 2014, 第 5 作者, 专利号: CN103630242A

( 91 ) 一种半导体结构及其制造方法, 2014, 第 5 作者, 专利号: CN103632922A

( 92 ) 一种非制冷红外成像焦平面阵列探测器, 2014, 第 5 作者, 专利号: CN103592032A

( 93 ) 一种非制冷红外成像焦平面阵列探测器, 2014, 第 5 作者, 专利号: CN103592032A

( 94 ) 半导体器件及其制造方法, 2014, 第 3 作者, 专利号: CN103579314A

( 95 ) 一种半导体结构及其制造方法, 2013, 第 3 作者, 专利号: CN103325826A

( 96 ) 双材料悬臂梁应力匹配方法, 2013, 第 4 作者, 专利号: CN103449356A

( 97 ) 半导体器件及其制造方法, 2013, 第 5 作者, 专利号: CN103456782A

( 98 ) 半导体器件制造方法, 2013, 第 6 作者, 专利号: CN103377943A

( 99 ) 双金属栅极CMOS器件及其制造方法, 2013, 第 4 作者, 专利号: CN103378008A

( 100 ) 金属硅化物制造方法, 2013, 第 6 作者, 专利号: CN103377894A

( 101 ) 鳍形场效应晶体管制造方法, 2013, 第 5 作者, 专利号: CN103367162A

( 102 ) CMOS及其制造方法, 2013, 第 4 作者, 专利号: CN103367364A

( 103 ) 半导体器件及其制造方法, 2013, 第 4 作者, 专利号: CN103367363A

( 104 ) 一种半导体结构及其制造方法, 2013, 第 5 作者, 专利号: CN103325684A

( 105 ) 带有热沉结构的光读出全镂空焦平面阵列及其制造方法, 2013, 第 3 作者, 专利号: CN103318836A

( 106 ) 半导体器件及其制造方法, 2013, 第 3 作者, 专利号: CN103311282A

( 107 ) 半导体器件及其制造方法, 2013, 第 4 作者, 专利号: CN103311247A

( 108 ) 采用KOH溶液的硅基MEMS器件湿法释放方法, 2013, 第 6 作者, 专利号: CN103303858A

( 109 ) 模数转换器, 2013, 第 6 作者, 专利号: CN103297050A

( 110 ) X射线平板探测器及其制造方法, 2013, 第 4 作者, 专利号: CN103296035A

( 111 ) 石墨烯器件, 2013, 第 6 作者, 专利号: CN103296071A

( 112 ) 应力匹配的双材料微悬臂梁的制造方法, 2013, 第 4 作者, 专利号: CN103241706A

( 113 ) 硅腐蚀局部终止层制作方法, 2013, 第 4 作者, 专利号: CN103241705A

( 114 ) 张应力 LPCVD SiO 2 膜的制造方法, 2013, 第 4 作者, 专利号: CN103183307A

( 115 ) 张应力 LPCVD SiO 2 膜的制造方法, 2013, 第 4 作者, 专利号: CN103183307A

( 116 ) 红外焦平面阵列器件及其制作方法, 2013, 专利号: CN102384789B

( 117 ) 一种悬浮结构MEMS器件及其制造方法, 2013, 第 3 作者, 专利号: CN103130177A

( 118 ) 半导体器件制造方法, 2013, 第 5 作者, 专利号: CN103137486A

( 119 ) 具有抬升硅化物源漏接触的MOSFET及其制造方法, 2013, 第 5 作者, 专利号: CN103137668A

( 120 ) 单片集成红外焦平面探测器, 2013, 第 3 作者, 专利号: CN103076099A

( 121 ) 一种MOS器件及其制造方法, 2013, 第 3 作者, 专利号: CN103077969A

( 122 ) 具有双金属栅的CMOS器件及其制造方法, 2013, 第 3 作者, 专利号: CN103077947A

( 123 ) 半导体器件及其制造方法, 2013, 第 3 作者, 专利号: CN103035524A

( 124 ) 一种非制冷红外成像焦平面阵列探测器, 2013, 第 5 作者, 专利号: CN202836765U

( 125 ) 一种半导体器件及其制造方法, 2013, 第 5 作者, 专利号: CN102064176B

( 126 ) 后栅工艺中假栅的制造方法, 2013, 专利号: CN102969232A

( 127 ) 光照稳定性非晶态金属氧化物TFT器件以及显示器件, 2013, 第 4 作者, 专利号: CN102969361A

( 128 ) 高稳定性非晶态金属氧化物TFT器件, 2013, 第 4 作者, 专利号: CN102969362A

( 129 ) 一种非制冷红外成像焦平面阵列探测器, 2013, 第 5 作者, 专利号: CN202734967U

( 130 ) 半导体器件及其制造方法, 2013, 第 3 作者, 专利号: CN102931222A

( 131 ) 紫外探测器的像素结构、紫外探测器系统及其制造方法, 2013, 第 2 作者, 专利号: CN102891150A

( 132 ) 横向梳齿型微机械震动能量收集器, 2013, 第 2 作者, 专利号: CN102874736A

( 133 ) 多栅器件的形成方法, 2013, 第 3 作者, 专利号: CN102856181A

( 134 ) 绝缘栅控横向场发射晶体管及其驱动方法, 2013, 第 3 作者, 专利号: CN102856362A

( 135 ) 多栅器件的形成方法, 2013, 第 3 作者, 专利号: CN102856205A

( 136 ) 多晶硅假栅移除后的监控方法, 2012, 第 5 作者, 专利号: CN102842518A

( 137 ) 光电探测叠层、半导体紫外探测器及其制造方法, 2012, 第 2 作者, 专利号: CN102832269A

( 138 ) 半导体器件中金属厚度的量测方法, 2012, 第 5 作者, 专利号: CN102820237A

( 139 ) 后栅工艺移除多晶硅假栅制程的监控方法, 2012, 第 5 作者, 专利号: CN102810491A

( 140 ) 金属栅CMP后的制程监控方法, 2012, 第 5 作者, 专利号: CN102810492A

( 141 ) 一种可见-红外双通摄像机, 2012, 第 3 作者, 专利号: CN102789114A

( 142 ) 感应栅型非晶态金属氧化物TFT气体传感器, 2012, 第 2 作者, 专利号: CN102778481A

( 143 ) 可集成的非晶态金属氧化物半导体气体传感器, 2012, 第 2 作者, 专利号: CN102778479A

( 144 ) 具有高击穿电压的HEMT及其制造方法, 2012, 第 3 作者, 专利号: CN102769033A

( 145 ) 微机械热电堆红外探测器及其制作方法, 2012, 专利号: CN102757011A

( 146 ) 半导体器件及其制造方法, 2012, 第 2 作者, 专利号: CN102694052A

( 147 ) 穿硅通孔结构及其形成方法, 2012, 第 2 作者, 专利号: CN102683308A

( 148 ) 一种半导体结构及其制造方法, 2012, 第 3 作者, 专利号: CN102683281A

( 149 ) 牺牲栅去除方法及栅堆叠制作方法, 2012, 第 5 作者, 专利号: CN102655121A

( 150 ) 浅沟槽隔离及其形成方法, 2012, 第 3 作者, 专利号: CN102651332A

( 151 ) 穿硅通孔结构及其形成方法, 2012, 第 2 作者, 专利号: CN102637656A

( 152 ) 向沟道中引入应变的方法和使用该方法制作的器件, 2012, 第 3 作者, 专利号: CN102593001A

( 153 ) SOG层和光抗蚀剂层的反应离子刻蚀方法, 2012, 第 4 作者, 专利号: CN102569062A

( 154 ) 提高打开多晶栅顶化学机械平坦化工艺均匀性的方法, 2012, 第 3 作者, 专利号: CN102543714A

( 155 ) 层间电介质层的平面化方法, 2012, 第 5 作者, 专利号: CN102543839A

( 156 ) 半导体器件的制造方法, 2012, 第 6 作者, 专利号: CN102543838A

( 157 ) 光学成像装置, 2012, 第 1 作者, 专利号: CN102486410A

( 158 ) 可调节沟道应力的器件与方法, 2012, 第 3 作者, 专利号: CN102487086A

( 159 ) 化学机械平坦化方法和后金属栅的制作方法, 2012, 第 5 作者, 专利号: CN102479701A

( 160 ) 提高金属栅化学机械平坦化工艺均匀性的方法, 2012, 第 3 作者, 专利号: CN102479695A

( 161 ) 红外传感器开关器件及其制作方法, 2012, 第 4 作者, 专利号: CN102480285A

( 162 ) 一种半导体工艺的测试结构及其制造方法, 2012, 第 4 作者, 专利号: CN102468271A

( 163 ) 一种高介电常数栅介质材料及其制备方法, 2012, 第 4 作者, 专利号: CN102453866A

( 164 ) 非制冷红外探测器件及其制作方法, 2012, 第 3 作者, 专利号: CN102376813A

( 165 ) 一种石墨烯器件及其制造方法, 2012, 第 3 作者, 专利号: CN102376624A

( 166 ) 一种界面优化的锗基半导体器件及其制造方法, 2012, 第 5 作者, 专利号: CN102339736A

( 167 ) 一种基于栅极替代工艺的制造半导体器件的方法, 2012, 第 5 作者, 专利号: CN102339752A

( 168 ) 一种热型红外探测器, 2012, 第 2 作者, 专利号: CN102313601A

( 169 ) 一种半导体器件及其制造方法, 2011, 第 5 作者, 专利号: CN102299156A

( 170 ) 一种半导体器件及其制造方法, 2011, 第 5 作者, 专利号: CN102299156A

( 171 ) 一种半导体器件的形成方法及其半导体器件, 2011, 第 4 作者, 专利号: CN102299110A

( 172 ) 电子卡片, 2011, 第 2 作者, 专利号: CN202033785U

( 173 ) 一种半导体器件的制造方法, 2011, 第 5 作者, 专利号: CN102222616A

( 174 ) 一种MOS场效应晶体管, 2011, 第 1 作者, 专利号: CN102142458A

( 175 ) 用于MEMS芯片背面湿法化学腐蚀正面保护的夹具结构, 2011, 第 2 作者, 专利号: CN102115023A

( 176 ) 一种制作CMOSFETs器件结构的方法, 2011, 第 5 作者, 专利号: CN102104024A

( 177 ) 一种调整红外热像成像仪灵敏度的方法, 2011, 第 3 作者, 专利号: CN101298996B

( 178 ) 一种改善高介电常数栅介质界面特性的方法, 2011, 第 5 作者, 专利号: CN102044442A

( 179 ) 由单晶硅材料构成的微米尺度网格结构及其制作方法, 2011, 第 2 作者, 专利号: CN101985348A

( 180 ) 控制阈值电压特性的CMOSFETs器件结构及其制造方法, 2011, 第 4 作者, 专利号: CN101964345A

( 181 ) 一种通用CMOS MEMS器件电化学腐蚀绝缘保护方法, 2011, 第 3 作者, 专利号: CN101423187B

( 182 ) 一种气敏传感器标定和可靠性测试系统, 2011, 第 2 作者, 专利号: CN101241093B

( 183 ) 控制器件阈值电压的CMOSFETs结构及其制造方法, 2010, 第 4 作者, 专利号: CN101930979A

( 184 ) 调节高k栅介质和金属栅结构pMOSFET器件阈值电压的方法, 2010, 第 3 作者, 专利号: CN101924034A

( 185 ) 一种非制冷红外成像器件的圆片级封装方法, 2010, 第 2 作者, 专利号: CN101920930A

( 186 ) 一种获得红外热像成像仪最佳灵敏度的方法, 2010, 第 3 作者, 专利号: CN101308043B

( 187 ) 带硅支撑框架的全镂空结构光调制热成像焦平面阵列, 2010, 第 2 作者, 专利号: CN201561801U

( 188 ) 抑制高k栅介质/金属栅结构界面层生长的方法, 2010, 第 3 作者, 专利号: CN101783298A

( 189 ) 带自支撑框架的全镂空结构光调制热成像焦平面阵列, 2010, 第 2 作者, 专利号: CN201514283U

( 190 ) 带自支撑框架的全镂空结构光调制热成像焦平面阵列, 2010, 第 2 作者, 专利号: CN201514283U

( 191 ) 用于MOS器件的金属栅极结构及其制作方法, 2009, 第 3 作者, 专利号: CN101599436A

( 192 ) 光调制热成像焦平面阵列的制作方法, 2009, 第 2 作者, 专利号: CN101538006A

( 193 ) 光调制热成像焦平面阵列的制作方法, 2009, 第 2 作者, 专利号: CN101538005A

( 194 ) 一种带硅支撑框架的全镂空结构光调制热成像焦平面阵列, 2009, 第 2 作者, 专利号: CN101498607A

( 195 ) CMOS器件金属栅极及其形成方法, 2009, 第 3 作者, 专利号: CN101494236A

( 196 ) 一种微机电系统振动射流执行器的制备方法, 2009, 第 5 作者, 专利号: CN100509610

( 197 ) 基于硅衬底制作绝热防粘连空腔的方法, 2009, 第 2 作者, 专利号: CN101450787A

( 198 ) 改善微机械非制冷红外成像芯片中反光板平整度的方法, 2009, 第 3 作者, 专利号: CN101446758A

( 199 ) 基于单晶硅PN结的非制冷红外探测器阵列及其制备方法, 2009, 第 6 作者, 专利号: CN101441112A

( 200 ) 基于场致电子发射原理的微尖端阵列器件及其制作方法, 2009, 第 2 作者, 专利号: CN101441962A

( 201 ) 一种制作纳米级图形的方法, 2009, 第 2 作者, 专利号: CN101441410A

( 202 ) 基于衬底硅作固支的微机械悬臂梁阵列的制作方法, 2009, 第 2 作者, 专利号: CN101439842A

( 203 ) 基于多晶硅PN结的非制冷红外探测器阵列及其制备方法, 2009, 第 6 作者, 专利号: CN101435722A

( 204 ) 一种微尖端阵列器件及其制作方法, 2009, 第 1 作者, 专利号: CN101430938A

( 205 ) 在碱性腐蚀液中保护易腐蚀MEMS器件的方法, 2009, 第 2 作者, 专利号: CN101428753A

( 206 ) 一种双层结构的微机电系统微磁执行器的制作方法, 2009, 第 3 作者, 专利号: CN101376491A

( 207 ) 一种微机电系统磁执行器的制作方法, 2009, 第 3 作者, 专利号: CN101376489A

( 208 ) 基于架空金属线桥的微机电系统磁执行器的制作方法, 2009, 第 3 作者, 专利号: CN101376490A

( 209 ) 玻璃基底光学读出红外传感器, 2009, 第 3 作者, 专利号: CN100453443C

( 210 ) 光学读出红外传感器, 2009, 第 3 作者, 专利号: CN100453986C

( 211 ) 光学读出热型红外图像传感器中加强型红外吸收板, 2009, 第 3 作者, 专利号: CN201184818Y

( 212 ) 一种电磁驱动推拉式射频微机电系统开关, 2008, 第 3 作者, 专利号: CN101329968A

( 213 ) 一种气敏传感器, 2008, 第 3 作者, 专利号: CN101329291A

( 214 ) 一种获得红外热像成像仪最佳灵敏度的方法, 2008, 第 3 作者, 专利号: CN101308043A

( 215 ) 一种调整红外热像成像仪灵敏度的方法, 2008, 第 3 作者, 专利号: CN101298996A

( 216 ) 一种双稳态单晶硅梁射频微机电系统开关, 2008, 第 3 作者, 专利号: CN101276705A

( 217 ) 一种静电推拉式单晶硅梁射频微机电系统开关, 2008, 第 3 作者, 专利号: CN101276708A

( 218 ) 一种半导体制冷的二氧化碳超临界干燥装置, 2008, 第 3 作者, 专利号: CN101275805A

( 219 ) 微电子机械系统光学解复用器芯片的制备方法, 2008, 第 2 作者, 专利号: CN101276020A

( 220 ) 一种二氧化碳超临界干燥装置, 2008, 第 3 作者, 专利号: CN101275806A

( 221 ) 基于多晶硅特性制作热剪切应力传感器的方法, 2008, 第 3 作者, 专利号: CN101274738A

( 222 ) 非接触式微电子机械系统红外温度报警器的制备方法, 2008, 第 4 作者, 专利号: CN101274739A

( 223 ) 一种抗水流冲击的体硅腐蚀配套设备, 2008, 第 2 作者, 专利号: CN101274742A

( 224 ) 基于二氧化硅特性制作热剪切应力传感器的方法, 2008, 第 3 作者, 专利号: CN101274740A

( 225 ) 微电子机械系统电可调谐光学滤波器芯片制备方法, 2008, 第 2 作者, 专利号: CN100420620C

( 226 ) 一种双线圈推拉式射频微机电系统开关, 2008, 第 3 作者, 专利号: CN201117591Y

( 227 ) 一种红外光学成像装置及方法, 2008, 第 2 作者, 专利号: CN101241231A

( 228 ) 一种非制冷红外成像焦平面阵列探测器, 2008, 第 5 作者, 专利号: CN101229910A

( 229 ) 光-机械式双层结构非制冷红外成像焦平面阵列探测器, 2008, 第 3 作者, 专利号: CN101229911A

( 230 ) 光学读出热型红外图像传感器中加强型红外吸收板及其制备方法, 2008, 第 3 作者, 专利号: CN101226081A

( 231 ) 采用基于硅衬底突点制作及释放牺牲层的方法, 2008, 第 2 作者, 专利号: CN100396594C

( 232 ) 光学读出热型红外图像传感器, 2008, 第 2 作者, 专利号: CN100391238C

( 233 ) 一种非制冷红外焦平面阵列探测器及其制作方法, 2008, 第 1 作者, 专利号: CN101140185A

( 234 ) 一种三角形结构的微悬臂梁传感器及其制作方法, 2008, 第 2 作者, 专利号: CN101131354A

( 235 ) 一种半导体制冷的二氧化碳超临界干燥装置, 2008, 第 3 作者, 专利号: CN201028934Y

( 236 ) 一种二氧化碳超临界干燥装置, 2008, 第 3 作者, 专利号: CN201014889Y

( 237 ) 玻璃基底光学读出红外传感器, 2007, 第 3 作者, 专利号: CN200981818Y

( 238 ) 玻璃基底光学读出红外传感器, 2007, 第 3 作者, 专利号: CN200981818Y

( 239 ) 基于硅衬底无牺牲层的非制冷红外焦平面阵列的制作方法, 2007, 第 2 作者, 专利号: CN101047149A

( 240 ) 光学读出热型红外图像传感器, 2007, 第 2 作者, 专利号: CN2932866Y

( 241 ) 一种光开关的设计及制作工艺, 2007, 第 2 作者, 专利号: CN101008694A

( 242 ) 光学读出红外传感器, 2007, 第 3 作者, 专利号: CN2911625Y

( 243 ) 玻璃基底光学读出红外传感器, 2007, 第 3 作者, 专利号: CN1970430A

( 244 ) 用于提高非制冷红外焦平面阵列器件性能的制作方法, 2007, 第 2 作者, 专利号: CN1911781A

( 245 ) 光-机械式双层结构非制冷红外成像焦平面阵列, 2007, 第 3 作者, 专利号: CN1904568A

( 246 ) 基于新牺牲层工艺的热剪切应力传感器器件的制作方法, 2007, 第 2 作者, 专利号: CN1900669A

( 247 ) 基于真空粘合工艺的热剪切应力传感器器件的制作方法, 2007, 第 2 作者, 专利号: CN1900668A

( 248 ) 新型微尖端面阵列器件, 2007, 第 2 作者, 专利号: CN1897170A

( 249 ) 光学读出热型红外图像传感器, 2007, 第 2 作者, 专利号: CN1893567A

( 250 ) 微尖端线列器件, 2006, 第 2 作者, 专利号: CN1885438A

( 251 ) 单层双材料微悬臂梁热隔离焦平面阵列的制作方法, 2006, 第 2 作者, 专利号: CN1884039A

( 252 ) 采用基于硅衬底突点制作及释放牺牲层的方法, 2006, 第 2 作者, 专利号: CN1884040A

( 253 ) 微电力机械系统电可调谐光学滤波器芯片制备方法, 2006, 第 2 作者, 专利号: CN1840464A

( 254 ) 硅基液晶铝反射电极的钝化保护方法, 2006, 第 2 作者, 专利号: CN1841149A

( 255 ) 牺牲层固态升华释放改良设备, 2006, 第 2 作者, 专利号: CN2804054Y

( 256 ) 高高宽比深亚微米、纳米金属结构的三层制作工艺, 2006, 第 3 作者, 专利号: CN1799986A

( 257 ) 基于自支撑薄膜高高宽比深亚微米、纳米金属结构制作工艺, 2006, 第 3 作者, 专利号: CN1801458A

( 258 ) 光-机械式微梁阵列热型红外图象传感器, 2006, 第 5 作者, 专利号: CN1254959C

( 259 ) 光学读出红外传感器, 2006, 第 3 作者, 专利号: CN1760651A

( 260 ) 光-机械式微梁阵列热型红外图象传感器, 2005, 第 5 作者, 专利号: CN2703328Y

( 261 ) 一种制作活动微结构的方法, 2004, 第 1 作者, 专利号: CN1133758C

( 262 ) 一种制作活动微结构的方法, 2000, 第 1 作者, 专利号: CN1241649A

出版信息

   
发表论文
(1) An in-situ online method for extracting Seebeck coefficient of thermopile infrared sensors across a wide temperature range (300���600 K), Measurement, 2024, 第 6 作者  通讯作者
(2) An in-situ online method for extracting Seebeck coefficient of thermopile infrared sensors across a wide temperature range (300���600 K), Measurement, 2024, 第 6 作者  通讯作者
(3) 二极管型非制冷红外焦平面中二极管结构优化研究, Optimizing Diode Structure in Uncooled Infrared Focal Plane Array, 红外技术, 2023, 第 6 作者
(4) A nanoforest-based humidity sensor for respiration monitoring, A nanoforest-based humidity sensor for respiration monitoring, 微系统与纳米工程(英文), 2022, 第 7 作者
(5) A Time-Efficient Self-Test Method for Evaluating Thermal Parameters of Uncooled Infrared Detectors, IEEE TRANSACTIONS ON INSTRUMENTATION AND MEASUREMENT, 2022, 第 6 作者
(6) Overview of the MEMS Pirani Sensors, MICROMACHINES, 2022, 第 5 作者
(7) A Thermopile Infrared Sensor Array Pixel Monolithically Integrated with an NMOS Switch, MICROMACHINES, 2022, 第 7 作者
(8) A nanoforest-based humidity sensor for respiration monitoring, MICROSYSTEMS & NANOENGINEERING, 2022, 第 7 作者
(9) 基于超疏水高黏附结构的痕量农药分子现场检测SERS基底研究, A SERS Substrate for On-Site Detection of Trace Pesticide Molecules Based on Parahydrophobic Nanostructures, 光谱学与光谱分析, 2021, 第 7 作者
(10) 基于PMMA纳米纤维森林结构的高性能SERS基底, High Performance SERS Substrate Based on PMMA Nanofiber Forest Structure, 太原理工大学学报, 2021, 第 4 作者
(11) A Self-Test Method of Structural Failures of Uncooled Infrared Focal Plane Array, IEEE TRANSACTIONS ON SEMICONDUCTOR MANUFACTURING, 2021, 第 5 作者
(12) Morphology regulation of nanowire forests for tumour-cell behaviours, MICRO & NANO LETTERS, 2021, 第 6 作者
(13) A Sensitivity Controllable Thermopile Infrared Sensor by Monolithic Integration of a N-channel Metal Oxide Semiconductor, ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY, 2021, 第 6 作者  通讯作者
(14) An air-plasma enhanced low-temperature wafer bonding method using high-concentration water glass adhesive layer (vol 500, 144007, 2020), APPLIED SURFACE SCIENCE, 2021, 第 5 作者
(15) Investigation on multidimensional test vehicle for embedded microfluidic cooling performance evaluation, APPLIED THERMAL ENGINEERING, 2021, 第 7 作者
(16) 基于等离激元多重杂化效应的光吸收结构, Light absorbing structures based on plasmon multi-hybrid effect, 物理学报, 2021, 第 8 作者
(17) Performance Enhanced Humidity Sensor by In-Situ Integration of Nanoforests, IEEE ELECTRON DEVICE LETTERS, 2021, 第 7 作者
(18) NiSi/p(+)-Si(n(+)-Si)/n-Si(p-Si) Diodes With Dopant Segregation (DS): p-n or Schottky Junctions?, IEEE TRANSACTIONS ON ELECTRON DEVICES, 2021, 第 10 作者
(19) 有机聚合物MEMS湿度传感器研究进展, MEMS humidity sensors based on organic polymers, 微纳电子与智能制造, 2021, 第 4 作者
(20) Self-Test, Self-Calibration and Self-Repair Methodology of Thermopile Infrared Detector, ELECTRONICS, 2021, 第 4 作者
(21) 基于HSFCM模糊聚类的快速多目标车辆跟踪算法, A Fast Multiple Maneuvering Vehicle Tracking Algorithm Based on Half Suppressed Fuzzy Cmeans Clustering, 汽车工程, 2021, 第 5 作者
(22) Light absorbing structures based on plasmon multi-hybrid effect, ACTA PHYSICA SINICA, 2021, 第 8 作者
(23) 一种复合式MEMS皮拉尼真空计的设计, Design of Composite-Type MEMS Pirani Vacuum Gauge, 微纳电子技术, 2021, 第 5 作者
(24) Quasi-Ordered Nanoforests with Hybrid Plasmon Resonances for Broadband Absorption and Photodetection, ADVANCED FUNCTIONAL MATERIALS, 2021, 第 9 作者
(25) 多位置检测实现MEMS加速度计的自校准, Self-calibration of MEMS accelerometer based on multi-position detection method, 哈尔滨工业大学学报, 2021, 第 4 作者
(26) Experimental Investigation of As Preamorphization Implant on Electrical Property of Ti-Based Silicide Contacts, IEEE TRANSACTIONS ON ELECTRON DEVICES, 2021, 第 16 作者
(27) 基于快速SR-UKF的锂离子动力电池SOC联合估计, Real-time SOC co-estimation algorithm for Li-ion batteries based on fast square-root unscented Kalman filters, 工程科学学报, 2021, 第 3 作者
(28) Thermal stability issue of ultrathin Ti-based silicide for its application in prospective DRAM peripheral 3D FinFET transistors, JOURNAL OF MATERIALS SCIENCE-MATERIALS IN ELECTRONICS, 2021, 第 12 作者
(29) 基于自适应UKF的锂离子动力电池状态联合估计, State Co-estimation Algorithm for Li-Ion Power Batteries Based on Adaptive Unscented Kalman Filters, 东北大学学报:自然科学版, 2020, 第 3 作者
(30) A nondestructive analysis method for the frontside-release process of thermal sensors, 2020 IEEE 33RD INTERNATIONAL CONFERENCE ON MICROELECTRONIC TEST STRUCTURES (ICMTS), 2020, 第 6 作者
(31) BROADBAND ANTIREFLECTIVE QUARTZ GLASSES WITH DOUBLE-SIDE NANOCONE FORESTS, 2020 33RD IEEE INTERNATIONAL CONFERENCE ON MICRO ELECTRO MECHANICAL SYSTEMS (MEMS 2020), 2020, 第 6 作者
(32) Investigation of Barrier Property of Amorphous Co-Ti Layer as Single Barrier/Liner in Local Co Interconnects, IEEE TRANSACTIONS ON ELECTRON DEVICES, 2020, 第 13 作者
(33) 实时多目标权重弯道跟随预测控制, Multi-Objective Real-Time Weighted Model Predictive Control for Car-Following, 天津大学学报:自然科学与工程技术版, 2020, 第 3 作者
(34) 自动驾驶技术研究现状及发展趋势, Research Status and Development Trend of Technologies for Autonomous Vehicles, 科学技术与工程, 2020, 第 2 作者
(35) An effective method for evaluating thermal parameters of diode-based thermal sensors, MEASUREMENT, 2020, 第 6 作者
(36) A response time measurement method for MEMS IR detectors, JOURNAL OF INFRARED AND MILLIMETER WAVES, 2020, 第 6 作者
(37) An air-plasma enhanced low-temperature wafer bonding method using high-concentration water glass adhesive layer, APPLIED SURFACE SCIENCE, 2020, 第 5 作者
(38) 一种复合式MEMS皮拉尼真空计的设计, 微纳电子技术, 2020, 第 5 作者
(39) A DROPLET PLATFORM BASED ON PARAHYDROPHOBIC NANOFORESTS FOR ON-SITE ION DETECTIONS, 2020 33RD IEEE INTERNATIONAL CONFERENCE ON MICRO ELECTRO MECHANICAL SYSTEMS (MEMS 2020), 2020, 第 6 作者
(40) Investigation of Ultrathin Ni Germanosilicide for Advanced pMOS Contact Metallization, IEEE TRANSACTIONS ON ELECTRON DEVICES, 2020, 第 14 作者
(41) 基于纳米锥森林结构的表面增强拉曼散射透明器件研究, Surface-Enhanced Raman Scattering Transparent Devices Based on Nanocone Forests, 光谱学与光谱分析, 2020, 第 6 作者
(42) 协同式多目标自适应巡航控制, Multi-objective adaptive cruise control(ACC) algorithm for cooperative ACC platooning, 工程科学学报, 2020, 第 3 作者
(43) 车辆跟随控制系统研究现状及发展趋势, Research Status and Development Trend of Vehicle Following Control System, 计算机科学, 2020, 第 2 作者
(44) Specific Contact Resistivity Improvement by As Preamorphization Implantation for Ti-Based Ohmic Contacts on n(+)-Si, IEEE TRANSACTIONS ON ELECTRON DEVICES, 2020, 第 11 作者
(45) Experimental investigation of fundamental film properties for Co1-xTix alloying films with different compositions (0 <= x <= 1), JOURNAL OF MATERIALS SCIENCE-MATERIALS IN ELECTRONICS, 2020, 第 11 作者
(46) BIO-INSPIRED SUPERHYDROPHILIC MICROPATTERNS FOR DETECTION OF TRACE MOLECULES IN FOG, 2020 33RD IEEE INTERNATIONAL CONFERENCE ON MICRO ELECTRO MECHANICAL SYSTEMS (MEMS 2020), 2020, 第 8 作者
(47) Effects of Ni Film Thickness on the Properties of Ni-Based Silicides Formed on Both Highly Doped n- and p-Si Substrate, ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY, 2020, 第 13 作者
(48) Self-Concentrated Surface-Enhanced Raman Scattering-Active Droplet Sensor with Three-Dimensional Hot Spots for Highly Sensitive Molecular Detection in Complex Liquid Environments, ACS SENSORS, 2020, 第 5 作者
(49) 基于纳米森林的电容式湿度传感器, Capacitive Humidity Sensor Based on Nano-Forest, 微纳电子技术, 2020, 第 5 作者
(50) Impact of Ge pre-amorphization implantation on Co/Co-Ti/n(+)-Si contacts in advanced Co interconnects, JAPANESE JOURNAL OF APPLIED PHYSICS, 2020, 第 16 作者
(51) A Self-Calibration Method of Microbolometer With Vacuum Package, IEEE SENSORS JOURNAL, 2020, 第 5 作者
(52) Surface-Enhanced Raman Scattering Transparent Devices Based on Nanocone Forests, SPECTROSCOPY AND SPECTRAL ANALYSIS, 2020, 第 6 作者
(53) A FIBER-Si3N4 COMPOSITE NANOFOREST WITH HIGH 7.6 To 11.6 mu m ABSORPTION FOR MEMS INFRARED SENSORS, 2020 33RD IEEE INTERNATIONAL CONFERENCE ON MICRO ELECTRO MECHANICAL SYSTEMS (MEMS 2020), 2020, 第 6 作者
(54) 基于烛灰纳米颗粒层的高灵敏度MEMS湿度传感器, Highly Sensitive MEMS Humidity Sensor Based on Candle-Soot Nanoparticle Layer, 微纳电子技术, 2020, 第 5 作者
(55) Investigation of NiGe Films Formed on Both n(+)- and p(+)-Ge with P and B Ion Implantation before Germanidation, ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY, 2019, 第 10 作者
(56) Compact micro-Pirani vacuum sensor based on series diodes without heating structure, SENSORS & ACTUATORS: A. PHYSICAL, 2019, 第 7 作者
(57) Direct wafer bonding of Ga2O3���SiC at room temperature, Ceramics International, 2019, 第 1 作者
(58) 车车通信链路延时补偿算法研究, Research on delay time compensation for the communication link of V2V, 微电子学与计算机, 2019, 第 4 作者
(59) Wafer Bonding of SiC-AlN at Room Temperature for All-SiC Capacitive Pressure Sensor, MICROMACHINES, 2019, 第 12 作者
(60) 一种二极管型红外热探测器热学参数的电学等效测试方法, An electrical equivalent test method for thermal parameters of a diode type infrared thermal detector, 红外与毫米波学报, 2019, 第 6 作者
(61) Highly Sensitive Diode-Based Micro-Pirani Vacuum Sensor with Low Power Consumption, SENSORS, 2019, 第 7 作者
(62) 空气流量计温度流量耦合效应的补偿算法, Compensation Algorithm Research on Temperature Flow Coupling Effect of Air Flow Meter, 仪表技术与传感器, 2019, 第 7 作者
(63) Exploration of the impact of interface states density on the specific contact resistivity in TiSix/n(+)-Si Ohmic contacts through high-low frequency method, JAPANESE JOURNAL OF APPLIED PHYSICS, 2019, 第 9 作者
(64) An electrical equivalent test method for thermal parameters of a diode type infrared thermal detector, JOURNAL OF INFRARED AND MILLIMETER WAVES, 2019, 第 6 作者
(65) The integration of Ga2O3 on SiC at room temperature by surface activated bonding method, PROCEEDINGS OF 2019 6TH INTERNATIONAL WORKSHOP ON LOW TEMPERATURE BONDING FOR 3D INTEGRATION (LTB-3D), 2019, 第 4 作者
(66) Direct wafer bonding of Ga2O3-SiC at room temperature, CERAMICS INTERNATIONAL, 2019, 第 4 作者
(67) Impacts of Ge Preamorphization Implantation and Si Capping on the Specific Contact Resistivity of Ni(Pt) SiGe/p(+)-SiGe Contacts, IEEE TRANSACTIONS ON ELECTRON DEVICES, 2019, 第 8 作者
(68) 40nm节点高深宽比接触孔刻蚀电性能稳定性改善, Electric Stability Improvement of High Aspect Ratio Contact Hole Etching in 40 nm Process Node, 半导体技术, 2019, 第 7 作者
(69) Low atomic number silicon nitride films for transmission electron microscopy, MATERIALS SCIENCE IN SEMICONDUCTOR PROCESSING, 2019, 第 8 作者
(70) Co-sputtering Co-Ti alloy as a single barrier/liner for Co interconnects and thermal stability enhancement using TiN metal capping, JOURNAL OF MATERIALS SCIENCE-MATERIALS IN ELECTRONICS, 2019, 第 10 作者
(71) Compact micro-Pirani vacuum sensor based on series diodes without heating structure, SENSORS AND ACTUATORS A-PHYSICAL, 2019, 第 7 作者
(72) 仿驾驶员多目标决策自适应巡航鲁棒控制, Drivers imitated multi-objective adaptive cruise control algorithm, 控制理论与应用, 2018, 第 3 作者
(73) Integrated Adaptive Cruise Control with Weight Coefficient Self-Tuning Strategy, APPLIED SCIENCES-BASEL, 2018, 第 3 作者  通讯作者
(74) Integrated Adaptive Cruise Control with Weight Coefficient Self-Tuning Strategy, APPLIED SCIENCES, 2018, 第 3 作者  通讯作者
(75) Vehicle-to-vehicle based multi-objective coordinated adaptive cruise control considering platoon stability, ADVANCES IN MECHANICAL ENGINEERING, 2018, 第 3 作者  通讯作者
(76) Impact of Ge Preamorphization Implantation on Both the Formation of Ultrathin TiSix and the Specific Contact Resistivity in TiSix/n-Si Contacts, IEEE TRANSACTIONS ON ELECTRON DEVICES, 2018, 第 9 作者
(77) Improved Ti germanosilicidation by Ge pre-amorphization implantation (PAI) for advanced contact technologies, MICROELECTRONIC ENGINEERING, 2018, 第 7 作者
(78) Enhancing the thermal stability of NiGe by prior-germanidation fluorine implantation into Ge substrate, JAPANESE JOURNAL OF APPLIED PHYSICS, 2018, 第 8 作者
(79) Physical Mechanism Underlying the Time Exponent Shift in the Ultra-fast NBTI of High-k/Metal gated p-CMOSFETs, 2018 25TH IEEE INTERNATIONAL SYMPOSIUM ON THE PHYSICAL AND FAILURE ANALYSIS OF INTEGRATED CIRCUITS (IPFA), 2018, 第 11 作者
(80) Improving sidewall roughness by combined RIE-Bosch process, MATERIALS SCIENCE IN SEMICONDUCTOR PROCESSING, 2018, 第 8 作者
(81) Band-Edge Work Function Obtained by Plasma Doping TiN Metal Gate for nMOS Device Application, IEEE TRANSACTIONS ON ELECTRON DEVICES, 2018, 第 17 作者
(82) A modified low-temperature wafer bonding method using spot pressing bonding technique and water glass adhesive layer, JAPANESE JOURNAL OF APPLIED PHYSICS, 2018, 第 4 作者
(83) 基于灰色神经网络的车辆跟驰模型研究, Research on Car-following Model Based on Grey Neural Network, 微电子学与计算机, 2018, 第 5 作者
(84) 基于EdgeBoxes与旋转不变特征的车辆检测, Car detection based on EdgeBoxes and rotation-invariant features, 信息技术与网络安全, 2018, 第 5 作者
(85) 车辆多模式多目标自适应巡航控制, Multi-Objective Adaptive Cruise Control with Multi-Mode Strategy, 电子科技大学学报, 2018, 第 3 作者
(86) Impact of Ge pre-amorphization implantation on forming ultrathin TiGex on both n- and p-Ge substrate, JAPANESE JOURNAL OF APPLIED PHYSICS, 2018, 第 9 作者
(87) On the manifestation ofGe Pre-amorphization Implantation (PAI) in forming ultrathin TiSix for Ti direct contact on Si in sub-16/14 nm Complementary Metal-Oxide-Semiconductor (CMOS) technology nodes, ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY, 2017, 第 9 作者
(88) Stress-induced leakage current characteristics of PMOS fabricated by a new multi-deposition multi-annealing technique with full gate last process, Stress-induced leakage current characteristics of PMOS fabricated by a new multi-deposition multi-annealing technique with full gate last process, CHINESE PHYSICS B, 2017, 第 11 作者
(89) An electrical test method for quality detecting of wafer level eutectic bonding, JOURNAL OF MICROMECHANICS AND MICROENGINEERING, 2017, 第 8 作者
(90) An electrical test method for quality detecting of wafer level eutetic bonding, JOURNAL OF MICROMECHANICS AND MICROENGINEERING, 2017, 第 1 作者
(91) A Modified Scheme to Reduce the Specific Contact Resistivity of NiSi/Si Contacts by Means of Dopant Segregation Technique, ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY, 2017, 第 9 作者
(92) A CMOS Compatible MEMS Pirani Vacuum Gauge with Monocrystal Silicon Heaters and Heat Sinks, CHIN.PHYS.LETT, 2017, 第 1 作者
(93) Thermal annealing effects on the stress stability in silicon dioxide films grown by plasma enhanced chemical vapor deposition, MICROSYSTEM TECHNOLOGIES-MICRO-AND NANOSYSTEMS-INFORMATION STORAGE AND PROCESSING SYSTEMS, 2017, 第 5 作者
(94) 基于BP神经网络的纵向避撞安全辅助算法, 西安交通大学学报, 2017, 第 1 作者
(95) 22纳米集成电路核心工艺技术及应用, 中国科技成果, 2017, 第 4 作者
(96) A CMOS Compatible MEMS Pirani Vacuum Gauge with Monocrystal Silicon Heaters and Heat Sinks, A CMOS Compatible MEMS Pirani Vacuum Gauge with Monocrystal Silicon Heaters and Heat Sinks, CHINESE PHYSICS LETTERS, 2017, 第 6 作者
(97) A CMOS Compatible MEMS Pirani Vacuum Gauge with Monocrystal Silicon Heaters and Heat Sinks, A CMOS Compatible MEMS Pirani Vacuum Gauge with Monocrystal Silicon Heaters and Heat Sinks, 中国物理快报:英文版, 2017, 第 6 作者
(98) Design and fabrication of wafer-level packaged MEMS Pirani Gauge with sorrounded heat sinks, TRANSDUCERS2017, 2017, 第 1 作者
(99) Investigation and optimization of Pirani Vacuum Gauges with Monocrystal silicon heaters and heat sinks, JOURNAL OF MICROELECTROMECHANICAL SYSTEMS, 2017, 第 5 作者
(100) Junction Control by Carbon and Phosphorus Co-Implantation in Pre-Amorphized Germanium, ECS JOURNAL OF SOLID STATE SCIENCE AND TEHCHNOLOGY, 2016, 第 7 作者
(101) CMOS MEMS INFRARED SOURCE BASED ON BLACK SILICON, 2016, 第 6 作者
(102) The Visual Object Tracking VOT2016 Challenge Results, COMPUTER VISION - ECCV 2016 WORKSHOPS, PT II, 2016, 第 30 作者
(103) A Combined Wafer Bonding Method using Spin-coated Water Glass Adhesive Layer and Spot Pressing Bonding Technique, 2016 13TH IEEE INTERNATIONAL CONFERENCE ON SOLID-STATE AND INTEGRATED CIRCUIT TECHNOLOGY (ICSICT), 2016, 第 4 作者
(104) 表面残留颗粒对晶圆键合影响机制的研究, Effects of Surface Residual Particles on Wafer Bonding, 半导体光电, 2016, 第 5 作者
(105) Series resistance effect on time zero dielectrics breakdown characteristics of MOSCAP with ultra-thin EOT high-k/metal gate stacks, JOURNAL OF SEMICONDUCTORS, 2016, 第 10 作者
(106) A CMOS-MEMS IR device based on double-layer thermocouples, MICROSYSTEM TECHNOLOGIES-MICRO-AND NANOSYSTEMS-INFORMATION STORAGE AND PROCESSING SYSTEMS, 2016, 第 7 作者
(107) The Visual Object Tracking VOT2016 Challenge Results, COMPUTER VISION - ECCV 2016 WORKSHOPS, PT II, 2016, 第 30 作者
(108) Evaluation of PMMA Residues as a Function of Baking Temperature and a Graphene Heat-Free-Transfer Process to Reduce Them, ECS JOURNAL OF SOLID STATE SCIENCE AND TEHCHNOLOGY, 2016, 第 10 作者
(109) A combined wafer bonding method using spin-coated water glass adhesive layer and spot pressing bonding technique, 2016, 第 3 作者
(110) Accurate lifetime prediction for channel hot carrier stress on sub-1 nm equivalent oxide thickness HK/MG nMOSFET with thin titanium nitride capping layer, MICROELECTRONICS RELIABILITY, 2016, 第 13 作者
(111) Development of MEMS IR source by compound release process with nano-scale silicon forest radiation layer, 2016, 第 8 作者
(112) Research of periodic amorphous carbon composite films for MEMS IR source fabricated by magnetron sputtering, 2016, 第 5 作者
(113) 一种电动车专家自诊断方法及系统, Implementation Method and System for EV Self-diagnosis, 计算机科学, 2016, 第 3 作者
(114) Improving stress stability in low-pressure chemical vapor deposited silicon dioxide films by ion implantation, THIN SOLID FILMS, 2016, 第 5 作者
(115) Study on influences of TiN capping layer on time-dependent dielectric breakdown characteristic of ultra-thin EOT high-kappa metal gate NMOSFET with kMC TDDB simulations, CHINESE PHYSICS B, 2016, 第 13 作者
(116) Development of MEMS IR source by compound release process with nano-scale silicon forest radiation layer, 2016 IEEE SENSORS, 2016, 第 11 作者
(117) Study of SiGe selective epitaxial process integration with high-k and metal gate for 16/14 nm nodes FinFET technology, MICROELECTRONIC ENGINEERING, 2016, 第 13 作者
(118) Temperature-and voltage-dependent trap generation model in high-kappa metal gate MOS device with percolation simulation, CHINESE PHYSICS B, 2016, 第 9 作者
(119) Evaluation of PMMA Residues as a Function of Baking Temperature and a Graphene Heat-Free-Transfer Process to Reduce Them, ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY, 2016, 第 10 作者
(120) 基于PNN的网联汽车前方碰撞预警系统研究, 2016, 第 2 作者
(121) Atomic layer deposition assisted pattern transfer technology for ultra-thin block copolymer films, THIN SOLID FILMS, 2016, 第 8 作者
(122) Temperature- and voltage-dependent trap generation model in high-k metal gate MOS device with percolation simulation, Temperature- and voltage-dependent trap generation model in high-k metal gate MOS device with percolation simulation, Chinese Physics B, 2016, 第 9 作者
(123) Optical sensitivity non-uniformity analysis and optimization of a tilt optical readout focal plane array, JOURNAL OF MICROMECHANICS AND MICROENGINEERING, 2016, 第 6 作者
(124) Application of ALD W films as gate filling metal in 22 nm HKMG-last integration: Evaluation and improvement of the adhesion in CMP process, MICROELECTRONIC ENGINEERING, 2015, 第 7 作者
(125) Influence of multi-deposition multi-annealing on time-dependent dielectric breakdown characteristics of PMOS with high-k/metal gate last process, Influence of multi-deposition multi-annealing on time-dependent dielectric breakdown characteristics of PMOS with high-k/metal gate last process, Chinese Physics B, 2015, 第 12 作者
(126) 基于纳米黑硅的新型MEMS红外光源, A novel MEMS infrared source based on nanomaterial black silicon, 电子元件与材料, 2015, 第 6 作者
(127) Device parameter optimization for sub-20 nm node HK/MG-last bulk FinFETs, JOURNAL OF SEMICONDUCTORS, 2015, 第 20 作者
(128) Planar Bulk MOSFETs With Self-Aligned Pocket Well to Improve Short-Channel Effects and Enhance Device Performance, IEEE TRANSACTIONS ON ELECTRON DEVICES, 2015, 第 32 作者
(129) Improved Short Channel Effect Control in Bulk FinFETs With Vertical Implantation to Form Self-Aligned Halo and Punch-Through Stop Pocket, IEEE ELECTRON DEVICE LETTERS, 2015, 第 8 作者
(130) 单型掺杂柱电极的3D硅像素探测器的器件与制造工艺研究, 半导体光电, 2015, 第 10 作者
(131) Impact of pattern dependency of SiGe layers grown selectively in source/drain on the performance of 22 nm node pMOSFETs, SOLID-STATE ELECTRONICS, 2015, 第 14 作者
(132) Energy distribution extraction of negative charges responsible for positive bias temperature instability, Energy distribution extraction of negative charges responsible for positive bias temperature instability, Chinese Physics B, 2015, 第 11 作者
(133) Influence of ultra-thin TiN thickness (1.4 nm and 2.4 nm) on positive bias temperature instability (PBTI) of high-k/metal gate nMOSFETs with gate-last process, Influence of ultra-thin TiN thickness (1.4 nm and 2.4 nm) on positive bias temperature instability (PBTI) of high-k/metal gate nMOSFETs with gate-last process, Chinese Physics B, 2015, 第 13 作者
(134) Design optimization and performance analysis of deformed optical readout focal plane array, JOURNAL OF MICROMECHANICS AND MICROENGINEERING, 2015, 第 6 作者
(135) Ion-Implanted TiN Metal Gate With Dual Band-Edge Work Function and Excellent Reliability for Advanced CMOS Device Applications, IEEE TRANSACTIONS ON ELECTRON DEVICES, 2015, 第 13 作者
(136) Characterization of positive bias temperature instability of NMOSFET with high-k/metal gate last process, JOURNAL OF SEMICONDUCTORS, 2015, 第 1 作者
(137) The thermal-mechanical performance of the uncooled infrared optical-readout bi-material FPA, MICROSYSTEM TECHNOLOGIES-MICRO-AND NANOSYSTEMS-INFORMATION STORAGE AND PROCESSING SYSTEMS, 2015, 第 7 作者
(138) Variation of Schottky barrier height induced by dopant segregation monitored by contact resistivity measurements, MICROELECTRONIC ENGINEERING, 2014, 第 7 作者
(139) Simulation studies on electrical characteristics of conical and pyramidal field emitters, HONGWAI YU JIGUANG GONGCHENG/INFRARED AND LASER ENGINEERING, 2014, 第 4 作者
(140) 锥形与金字塔形场发射尖端电学特性分析(英文), 红外与激光工程, 2014, 第 2 作者
(141) A modified scheme to tune the Schottky Barrier Height of NiSi by means of dopant segregation technique, VACUUM, 2014, 第 6 作者
(142) Investigation of Key Technologies for Poly-Si/TaN/HfLaON/IL SiO2 Gate-Stacks in Advanced Device Applications, IEEE TRANSACTIONS ON ELECTRON DEVICES, 2014, 第 8 作者
(143) Nanopillar-forest based surface-enhanced Raman scattering substrates, SCIENCE CHINA-INFORMATION SCIENCES, 2014, 第 6 作者
(144) The effects of process condition of Top-TiN and TaN thickness on the effective work function of MOSCAP with high-k/metal gate stacks, JOURNAL OF SEMICONDUCTORS, 2014, 第 7 作者
(145) Mitigation of reverse short channel effect with multilayer TiN Ti TiN metal gates in gate last Pmosfets, IEEE ELECTRON DEVICE LETTERS, 2014, 第 36 作者
(146) Application of Atomic Layer Deposition Tungsten (ALD W) as Gate Filling Metal for 22 nm and Beyond Nodes CMOS Technology, ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY, 2014, 第 10 作者
(147) 一种具有高填充因子吸收层和低失调低噪声读出电路的红外探测系统, Infrared detectors with high fill-factor absorber and low offset low noise readout circuit, 红外与毫米波学报, 2014, 第 7 作者
(148) Fabrication of polyimide sacrificial layers with inclined sidewalls based on reactive ion etching, AIP ADVANCES, 2014, 第 7 作者
(149) A Novel Fin Electron���Hole Bilayer Tunnel Field-Effect Transistor, IEEE TRANSACTIONS ON NANOTECHNOLOGY, 2014, 第 6 作者
(150) On substrate dopant engineering for ET-SOI MOSFETs with UT-BOX, JOURNAL OF SEMICONDUCTORS, 2014, 第 8 作者
(151) Interaction of Gd and N incorporation on the band structure and oxygen vacancies of HfO2 gate dielectric films, PHYSICA STATUS SOLIDI B-BASIC SOLID STATE PHYSICS, 2014, 第 9 作者
(152) 一种基于悬浮吸收层的双层结构的热电堆红外探测器, Design of Thermopile-Based Infrared Detectors with Suspended Absorber-Thermopile Bi-Layers, 传感技术学报, 2014, 第 6 作者
(153) A low offset chopper amplifier with three-stage nested Miller configuration, SCIENCE CHINA-INFORMATION SCIENCES, 2014, 第 4 作者
(154) Effects of carbon pre-silicidation implant into Si substrate on NiSi, MICROELECTRONIC ENGINEERING, 2014, 第 7 作者
(155) An effective work-function tuning method of nMOSCAP with high-k/metal gate by TiN/TaN double-layer stack thickness, JOURNAL OF SEMICONDUCTORS, 2014, 第 7 作者
(156) 全景鸟瞰拼接图像的质量评价方法, Image Quality Assessment of Panoramic Image, 计算机科学, 2014, 第 4 作者
(157) Infrared detectors with high fill-factor absorber and low offset low noise readout circuit, JOURNAL OF INFRARED AND MILLIMETER WAVES, 2014, 第 7 作者
(158) Physical understanding of different drain-induced-barrier-lowering variations in high-k/metal gate n-channel metal-oxide-semiconductor-fieldeffect-transistors induced by charge trapping under normal and reverse channel hot carrier stresses, APPLIED PHYSICS LETTERS, 2013, 第 13 作者
(159) Fabrication of nanopillar forests with high infrared absorptance based on rough poly-Si and spacer technology, JOURNAL OF MICROMECHANICS AND MICROENGINEERING, 2013, 第 8 作者
(160) Design, Fabrication, and Characterization of a 240 x 240 MEMS Uncooled Infrared Focal Plane Array With 42-mu m Pitch Pixels, JOURNAL OF MICROELECTROMECHANICAL SYSTEMS, 2013, 第 4 作者
(161) 基于微处理器的抽油机节能系统, Energy-saving system for oil pumping motor based on micro-processor, 信息技术, 2013, 第 2 作者
(162) Investigation on Performance and Vacuum Package of MEMS Infrared Focal Plane Arrays, IEEE ELECTRON DEVICE LETTERS, 2013, 第 6 作者
(163) Structure design and film process optimization for metal-gate stress in 20 nm nMOS devices, JOURNAL OF SEMICONDUCTORS, 2013, 第 6 作者
(164) CMP-Less Planarization Technology with SOG/LTO Etchback for Low-Cost High-k/Metal Gate-Last Integration, ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY, 2013, 第 7 作者
(165) Elimination of initial stress-induced curvature in a micromachined bi-material composite-layered cantilever, JOURNAL OF MICROMECHANICS AND MICROENGINEERING, 2013, 第 8 作者
(166) Reexamination of band offset transitivity employing oxide heterojunctions, APPLIED PHYSICS LETTERS, 2013, 第 9 作者
(167) Characterization of HfSiAlON/MoAlN PMOSFETs Fabricated by Using a Novel Gate-Last Process, Characterization of HfSiAlON/MoAlN PMOSFETs Fabricated by Using a Novel Gate-Last Process, Chinese Physics Letters, 2013, 第 13 作者
(168) Epitaxial growth and electrical properties of ultrathin La2Hf2O7 high-k gate dielectric films, APPLIED SURFACE SCIENCE, 2013, 第 8 作者
(169) Research of Infrared Imaging at Atmospheric Pressure Using a Substrate-Free Focal Plane Array, Research of Infrared Imaging at Atmospheric Pressure Using a Substrate-Free Focal Plane Array, Chinese Physics Letters, 2013, 第 7 作者
(170) 基于光学读出非制冷红外成像系统的无基底FPA等效电学模型, Equivalent circuit model of the substrate-free focal plane array based on the optical readout uncooled infrared imaging system, JOURNAL OF INFRARED AND MILLIMETER WAVES, 2013, 第 4 作者
(171) 二极管非制冷红外探测器及其读出电路设计, Uncooled diode infrared detector and design of its readoutinterface circuit, 红外与激光工程, 2013, 第 5 作者
(172) Application of Atomic Layer Deposition Tungsten (ALD W) as Gate Filling Metal for 22 nm and Beyond Nodes CMOS Technology, ATOMIC LAYER DEPOSITION APPLICATIONS 9, 2013, 第 12 作者
(173) 基于光学读出非制冷红外成像系统的无基底FPA等效电学模型, Equivalent circuit model of the substrate-free focal plane array based on the optical readout uncooled infrared imaging system, JOURNAL OF INFRARED AND MILLIMETER WAVES, 2013, 第 4 作者
(174) 车载环视拼接方法的研究, Study of Vehicle-surrounding Image Stitch Algorithm, 计算机科学, 2013, 第 4 作者
(175) A possible origin of core-level shift in SiO2/Si stacks, APPLIED PHYSICS LETTERS, 2013, 第 9 作者
(176) 金属栅回刻平坦化技术, Novel Planarization Technology of Metal Gate Etch-Back in Advanced CMOS Fabrication, 真空科学与技术学报, 2012, 第 4 作者
(177) 一种基于路径规划的自动平行泊车算法, Parallel parking algorithm based on autonomous path planning, 计算机应用研究, 2012, 第 4 作者
(178) 光学读出非制冷红外成像技术的光学灵敏度分析, Analysis of Optical Readout Sensitivity for Uncooled Infrared Imaging Based on Optical Readout, 光学学报, 2012, 第 6 作者
(179) Band alignment of TiN/HfO2 interface of TiN/HfO2/SiO2/Si stack, APPLIED PHYSICS LETTERS, 2012, 第 8 作者
(180) Band alignment of HfO2 on SiO2/Si structure, APPLIED PHYSICS LETTERS, 2012, 第 9 作者
(181) 基于无基底焦平面阵列的红外图像复原算法, Infrared Image Restoration Algorithm Based on Substrate-free Focal Plane Array, 实验力学, 2012, 第 5 作者
(182) 一种红外焦平面读出电路数字模块的设计, Design of a Digital Module for ROIC of Infrared Focal Plane Array, 微电子学, 2012, 第 4 作者
(183) 一种快速鲁棒的LOG-FAST角点算法, Fast and Robust LOG-FAST Corner Algorithm, 计算机科学, 2012, 第 3 作者
(184) 一种基于车联网架构的宽视野图像信息系统, Wide-view image system based on Internet of cars, 计算机应用研究, 2012, 第 3 作者
(185) Interfacial Elastic Dipoles: A New EOT Shifting Mechanism in HKMG Devices, IEEE ELECTRON DEVICE LETTERS, 2012, 第 7 作者
(186) 一种基于SURF的全景图像配准算法, A panoramic image registration algorithm based on SURF, 传感器与微系统, 2012, 第 4 作者
(187) 基于CMOS数字工艺的低噪声传感器接口电路, A Low Noise Sensor Interface Circuit Based on Digital CMOS Process, 微电子学, 2012, 第 5 作者
(188) A holistic approach performance analysis of substrate-free focal plane array, JOURNAL OF APPLIED PHYSICS, 2012, 第 3 作者
(189) Metal gate etch-back planarization technology, Metal gate etch-back planarization technology, 半导体学报, 2012, 第 3 作者
(190) The beam splitting in the photonic crystal at a degenerate state, OPTICS COMMUNICATIONS, 2011, 第 3 作者
(191) 基于MEMS和光学读出的非制冷红外成像技术, Uncooled Infrared Imaging System Based on MEMS and Optical Readout, 实验力学, 2011, 第 4 作者
(192) 基于光子晶体分光的气敏传感器研究, The research of the air-sensitive sensor based on the photonic crystal beam splitter, ACTA PHYSICA SINICA, 2011, 第 4 作者
(193) The research of the air-sensitive sensor based on the photonic crystal beam splitter, ACTA PHYSICA SINICA, 2011, 第 4 作者
(194) Band structure and electronic characteristics of cubic La2O3 gate dielectrics epitaxially grown on InP substrates, APPLIED PHYSICS LETTERS, 2011, 第 10 作者
(195) Thermal stability and dopant segregation for Schottky diodes with ultrathin epitaxial NiSi2-y, IEEE ELECTRON DEVICE LETTERS, 2011, 第 8 作者
(196) Electric Dipole at High-k/SiO2 Interface and Physical Origin by Dielectric Contact Induced Gap States, JAPANESE JOURNAL OF APPLIED PHYSICS, 2011, 第 6 作者
(197) Effects of rapid thermal annealing on structure and electrical properties of Gd-doped HfO2 high k film, APPLIED PHYSICS LETTERS, 2011, 第 5 作者
(198) 一种可用于危险品运输的智能车载终端设计与实现, Design and Realization of Intelligent Vehicle Terminal for Dangerous Cargo Transportation, 微计算机应用, 2011, 第 4 作者
(199) A novel anti-shock silicon etching apparatus for solving diaphragm release problems, A novel anti-shock silicon etching apparatus for solving diaphragm release problems, Chinese Physics B, 2010, 第 2 作者
(200) 用PECVD制备高抗腐蚀性能SiN_x薄膜的工艺研究, The study on technology for high corrosion-resistant SiN_x thin film deposited by plasma enhanced chemical vapor deposition, 功能材料, 2010, 第 4 作者
(201) The photoluminescence of SiCN thin films prepared by C+ implantation into alpha-SiNx:H, THIN SOLID FILMS, 2010, 第 6 作者
(202) A novel method for sacrificial layer release in MEMS devices fabrication, A novel method for sacrificial layer release in MEMS devices fabrication, 中国物理:英文版, 2010, 第 2 作者
(203) 三层材料微悬臂梁模型及其在红外焦平面像元设计中的应用, TRI-LAYER MICROCANTILEVER MODEL AND ITS APPLICATION IN IRFPA PIXEL DESIGN, JOURNAL OF INFRARED AND MILLIMETER WAVES, 2010, 第 3 作者
(204) 三层材料微悬臂梁模型及其在红外焦平面像元设计中的应用, TRI-LAYER MICROCANTILEVER MODEL AND ITS APPLICATION IN IRFPA PIXEL DESIGN, JOURNAL OF INFRARED AND MILLIMETER WAVES, 2010, 第 3 作者
(205) A novel method for sacrificial layer release in MEMS devices fabrication, A novel method for sacrificial layer release in MEMS devices fabrication, Chinese Physics B, 2010, 第 2 作者
(206) A novel anti-shock silicon etching apparatus for solving diaphragm release problems, A novel anti-shock silicon etching apparatus for solving diaphragm release problems, 中国物理:英文版, 2010, 第 2 作者
(207) Thermopile Infrared Detector with Detectivity Greater Than 10(8) cmHz((1/2))/W, JOURNAL OF INFRARED MILLIMETER AND TERAHERTZ WAVES, 2010, 第 6 作者
(208) 高k栅介质/金属栅结构CMOS器件的等效氧化层厚度控制技术, The Technology of EOT Control in High k Dielectric/ metal Gate Electrode CMOS Device, 电子工业专用设备, 2010, 第 4 作者
(209) Physical origin of dipole formation at high-k/SiO2 interface in metal-oxide-semiconductor device with high-k/metal gate structure, APPLIED PHYSICS LETTERS, 2010, 第 6 作者
(210) Study on electrothermally actuated cantilever array for nanolithography, SCIENCE CHINA-TECHNOLOGICAL SCIENCES, 2010, 第 2 作者  通讯作者
(211) Thermal and mechanical characterizations of a substrate-free focal plane array, Thermal and mechanical characterizations of a substrate-free focal plane array_, Chinese Physics B, 2010, 第 3 作者
(212) 光学读出微梁阵列红外成像性能分析与优化, Performance Analysis and Optimization of Optical-Readout of Microcantilever Array Infrared Imaging, 实验力学, 2010, 第 5 作者
(213) Optical sensitivity analysis of a bent micro reflector array in uncooled infrared imaging, JOURNAL OF MICROMECHANICS AND MICROENGINEERING, 2009, 第 4 作者
(214) Research on Interactive Teaching Mode Based on Dual Subjects in "Technologies of Internet Investigation", ICCSSE 2009: PROCEEDINGS OF 2009 4TH INTERNATIONAL CONFERENCE ON COMPUTER SCIENCE & EDUCATION, 2009, 第 1 作者  通讯作者
(215) Engineering of Nanotips in ZnO Submicrorods and Patterned Arrays, CRYSTAL GROWTH & DESIGN, 2009, 第 6 作者
(216) EFFECT OF REFLECTOR DEFORMATION ON OPTICAL DETECTION SENSITIVITY FOR OPTICAL READOUT INFRARED IMAGING SYSTEM, JOURNAL OF INFRARED AND MILLIMETER WAVES, 2009, 第 3 作者
(217) A simple prediction method for composite rectangular microcantilevers with equal width and the dimensional optimization, MICROELECTRONICS JOURNAL, 2009, 第 4 作者
(218) EFFECT OF REFLECTOR DEFORMATION ON OPTICAL DETECTION SENSITIVITY FOR OPTICAL READOUT INFRARED IMAGING SYSTEM, JOURNAL OF INFRARED AND MILLIMETER WAVES, 2009, 第 3 作者
(219) Research on the Resonant Frequency Formula of V-Shaped Cantilevers, 2009 4TH IEEE INTERNATIONAL CONFERENCE ON NANO/MICRO ENGINEERED AND MOLECULAR SYSTEMS, VOLS 1 AND 2, 2009, 第 4 作者
(220) Modeling and optimal design of multilayer thermal cantilever microactuators, SCIENCE IN CHINA SERIES E-TECHNOLOGICAL SCIENCES, 2009, 第 2 作者  通讯作者
(221) Performance of an optimized substrate-free focal plane array for optical readout uncooled infrared detector, JOURNAL OF APPLIED PHYSICS, 2009, 第 3 作者
(222) Optical readout sensitivity of deformed microreflector for uncooled infrared detector: theoretical model and experimental validation, JOURNAL OF THE OPTICAL SOCIETY OF AMERICA A-OPTICS IMAGE SCIENCE AND VISION, 2009, 第 4 作者
(223) Analysis of Optical Readout Sensitivity for Uncooled Infrared Detector, Analysis of Optical Readout Sensitivity for Uncooled Infrared Detector, Chinese Physics Letters, 2009, 第 4 作者
(224) Performance analysis of the substrate-free focal plane array in infrared imaging, ACTA PHYSICA SINICA, 2009, 第 3 作者
(225) 无基底焦平面阵列的红外成像性能分析, Performance analysis of the substrate-free focal plane array in infrared imaging, 物理学报, 2009, 第 3 作者
(226) 基于SOISi片的二极管红外探测器, Diode Infrared Detectors Based on SOI Si Wafers, 微纳电子技术, 2009, 第 2 作者
(227) Enhancement of Field Emission of CNTs Array by CO(2)-Assisted Chemical Vapor Deposition, JOURNAL OF NANOSCIENCE AND NANOTECHNOLOGY, 2009, 第 8 作者
(228) 安徽省上市公司信用的实证分析, 理论建设, 2009, 第 3 作者
(229) Modeling and optimal design of multilayer thermal cantilever microactuators, SCIENCE IN CHINA SERIES E-TECHNOLOGICAL SCIENCES, 2009, 第 2 作者  通讯作者
(230) Analysis of Optical Readout Sensitivity for Uncooled Infrared Detector, Analysis of Optical Readout Sensitivity for Uncooled Infrared Detector, 中国物理快报:英文版, 2009, 第 4 作者
(231) Optical sensitivity analysis of deformed mirrors for microcantilever array IR imaging, OPTICS EXPRESS, 2009, 第 8 作者
(232) 微悬臂梁阵列成像系统光学特性分析, The performance analysis of optical readout in micro-cantilever array IR imaging system, 四川大学学报:自然科学版, 2009, 第 3 作者
(233) Uncooled Infrared Imaging Using a Substrate-Free Focal-Plane Array, IEEE ELECTRON DEVICE LETTERS, 2008, 第 4 作者
(234) Two microthermal shear stress sensors: surface micromachined and bulk-bonding micromachined, JOURNAL OF MICRO-NANOLITHOGRAPHY MEMS AND MOEMS, 2008, 第 3 作者
(235) 非制冷红外焦平面CMOS读出电路设计, Design of ROIC for Uncooled IRFPA, 电子工业专用设备, 2008, 第 5 作者
(236) Micro thermal shear stress sensor based on vacuum anodic bonding and bulk-micromachining, Micro thermal shear stress sensor based on vacuum anodic bonding and bulk-micromachining, Chinese Physics B, 2008, 第 5 作者
(237) 无基底焦平面阵列的红外成像性能分析, Performance analysis of the substrate-free FPA in IR imaging, 红外与激光工程, 2008, 第 3 作者
(238) An optical readout method based uncooled infrared imaging system, INTERNATIONAL JOURNAL OF INFRARED AND MILLIMETER WAVES, 2008, 第 3 作者  通讯作者
(239) 热机械光读出非制冷红外成像系统建模分析, Modeling and Analysis of the Thermal-mechanical Optical Readout Uncooled Infrared Imaging System, 光电工程, 2008, 第 2 作者
(240) Study on characteristics of thermally stable HfLaON gate dielectric with TaN metal gate, APPLIED PHYSICS LETTERS, 2008, 第 4 作者
(241) Micro thermal shear stress sensor based on vacuum anodic bonding and bulk-micromachining, Micro thermal shear stress sensor based on vacuum anodic bonding and bulk-micromachining, 中国物理:英文版, 2008, 第 5 作者
(242) 非制冷红外焦平面阵列进展, Development of Uncooled IRFPA, 电子工业专用设备, 2008, 第 5 作者
(243) 光谱式MEMS/CMOS兼容气敏传感器, MEMS/CMOS Compatible Gas Sensors Based on Spectroscopy Analysis, 半导体学报, 2008, 第 10 作者
(244) Distortion of carbon nanotube array and its influence on carbon nanotube growth and termination, COLLOIDS AND SURFACES A-PHYSICOCHEMICAL AND ENGINEERING ASPECTS, 2008, 第 8 作者
(245) Uncooled infrared imaging device based on optimized optomechanical micro-cantilever array, ULTRAMICROSCOPY, 2008, 第 3 作者
(246) 微悬臂梁传感器及其在生化领域的应用, Microcantilever-based Sensors and Their Chemical and Biological Applications, 电子工业专用设备, 2008, 第 5 作者
(247) 基于TigerSHARC的气象雷达信号处理板设计, 科技信息, 2008, 第 2 作者
(248) 声表面波气体传感器的研究进展, Research progress of SAW gas sensors, 电子元件与材料, 2008, 第 4 作者
(249) 真空退火对碳纳米管电子特性的影响, Effect of Anneal under Vacuum on Electronic Properties of Carbon Nanotubes, 电子器件, 2008, 第 3 作者
(250) 基于原子力显微镜的悬臂梁微尖端器件应用新进展, Application of AFM-based Cantilever with Micro-probe, 电子工业专用设备, 2007, 第 2 作者
(251) The pressure-dependent performance of a substrate-free focal plane array in an uncooled infrared imaging system, JOURNAL OF APPLIED PHYSICS, 2007, 第 5 作者
(252) 光学读出非制冷红外成像的最新进展, Advance in Optically Readable Uncooled Infrared Imaging, 实验力学, 2007, 第 4 作者
(253) 基于MEMS工艺微悬臂梁阵列光学读出红外成像研究现状, Review on Micro-cantilever Array Based MEMS Technics Optical-eadout IR Imaging, 电子工业专用设备, 2007, 第 3 作者
(254) C^+注入a-SiNx:H的原子化学键合的研究, XPS of SiCN Thin Films Prepared by C^��� Implantation in Amorphous SiNx:H^���, 半导体学报, 2007, 第 4 作者
(255) 基于单晶硅梁的静电RFMEMS开关, Electrostatic RF MEMS Switches Based on Mono Crystal Silicon Cantilever Structure, 电子工业专用设备, 2007, 第 8 作者
(256) Uncooled IR imaging using optomechanical detectors, ULTRAMICROSCOPY, 2007, 第 3 作者
(257) MEMS技术在流体控制中的应用, The Application of MEMS in Fluid Control, 电子工业专用设备, 2007, 第 3 作者
(258) 非制冷MEMS红外成像系统, Uncooled MEMS IR imaging system, 红外与激光工程, 2007, 第 1 作者
(259) C^+注入a-SiNx:H薄膜的微结构及光发射的研究, Photoluminescence of SiCN Thin Films Prepared by C��� Implantation into Amorphous SiNx:H, 发光学报, 2007, 第 7 作者
(260) Photoluminescence of Si-rich SiNx films deposited by LPCVD under different conditions, INTERNATIONAL JOURNAL OF MODERN PHYSICS B, 2007, 第 3 作者
(261) 电磁驱动RFMEMS开关的研究状况, The Study of Electro-magnetically RF MEMS Switches, 电子工业专用设备, 2007, 第 4 作者
(262) 光学读出红外成像及其FPA性能分析, Performance analysis of FPA for optical doutl3tical readout uncooled inftrared imaging, 红外与激光工程, 2007, 第 3 作者
(263) 光机械式红外探测器中镜面弯曲对探测灵敏度的影响, The Influence of Mirror Curvature on Optical Detection Sensitivity in Optomechanical Uncooled IR Detectors, 实验力学, 2007, 第 4 作者
(264) 微纳沟道技术研究DNA分子性质研究, Study of DNA Molecules Confined in a Sort of Open Micro and Nano-scale Channels, 纳米科技, 2007, 第 8 作者
(265) 一种相位编码算法在气象雷达中的应用, Application of the Phase Code Algorithm in a Weather Radar, 小型微型计算机系统, 2006, 第 3 作者
(266) 微系统的代工制造及产业发展前景, Foreground of Microsystem Industry and Its Foundry Fabricator, 电子工业专用设备, 2006, 第 1 作者
(267) 应用于流动控制的MEMS传感器和执行器, MEMS Sensor and Actuator for Flow Control, 电子工业专用设备, 2006, 第 9 作者
(268) 基于微悬臂梁结构的生化传感器, Chemical and Biological Sensors Based on Microcantilever Structure, 电子工业专用设备, 2006, 第 2 作者
(269) 硅微机械FP腔器件机电特性模拟, Simulation of Electric-mechanical Characteristics of Micromechanical Device Fabry-Perot Cavity on Silicon, 光子学报, 2006, 第 3 作者
(270) 日本近年RF EMS开关研究的进展, Recent Development on RF MEMS Switches in Japan, 电子工业专用设备, 2006, 第 9 作者
(271) 基于MEMS技术的红外成像焦平面阵列, A MEMS Based Focus Plane Array for Infrared Imaging, 半导体学报, 2006, 第 5 作者
(272) MEMS中的簿膜制造技术, Thin Film Making Technology in MEMS, 电子工业专用设备, 2006, 第 2 作者
(273) X射线光刻对准系统图像增强技术和对准标记研究, 电子工业专用设备, 2005, 第 3 作者
(274) 用SiH2Cl2沉积MEMS中的多晶硅薄膜研究, 电子工业专用设备, 2005, 第 4 作者
(275) 等离子体刻蚀过程的APC技术研究进展, Progress of Advance Process Control Technique for Plasma Etching Process, 半导体技术, 2005, 第 4 作者
(276) 纳米压印光刻模版制作技术, 电子工业专用设备, 2005, 第 3 作者
(277) MEMS与智能化微系统, 电子工业专用设备, 2005, 第 2 作者
(278) 富硅氮化硅薄膜的荧光发射, 发光学报, 2005, 第 3 作者
(279) 高密度等离子体刻蚀机中的终点检测技术, Endpoint Detection in High Density Plasma Etching System, 微电子学, 2005, 第 3 作者
(280) 热压印光刻技术复制波带片图形研究, Study on Zone Plate Replication Using Hot Embossing Lithography Technology, 微细加工技术, 2005, 第 4 作者
(281) 沉积温度对a-SiNx:H薄膜PL峰的影响, Photoluminescence of LPCVD Si-Rich SiNx Films Deposited at Different Temperatures, 半导体学报, 2005, 第 2 作者
(282) 双材料微梁阵列非制冷红外成像系统——微梁阵列的设计与制作, BIMATERIAL MICRO-CANTILEVER UNCOOLED INFRARED IMAGING SYSTEM--DESIGN AND FABRICATION OF MICRO-CANTILEVER ARRAY, JOURNAL OF INFRARED AND MILLIMETER WAVES, 2005, 第 6 作者
(283) 双材料微梁阵列非制冷红外成像技术——微梁阵列的设计与制作, Bimaterial Micro-Cantilever Uncooled Infrared Imaging System������ Design and Fabrication of Micro-Cantilever Array, 实验力学, 2005, 第 5 作者
(284) 非制冷红外FPA结构设计及物理特性有限元模拟, Finite element simulation of the structure and physical characteristics for an uncooled infrared focal plane array, 光电工程, 2005, 第 2 作者
(285) 高密度等离子刻蚀机中的等离子体诊断技术, 半导体技术, 2005, 第 4 作者
(286) 悬臂梁微尖端器件的制备与应用研究进展, 电子工业专用设备, 2005, 第 2 作者
(287) 高斯电子束曝光系统, 电子工业专用设备, 2005, 第 3 作者
(288) 光学读出室温物体红外成像, Optical-Readout Room-temperature Infrared Imaging, 实验力学, 2005, 第 3 作者
(289) 微悬臂梁结构传感器与信号读出系统的集成, 电子工业专用设备, 2005, 第 2 作者
(290) 现代光刻技术, 核技术, 2004, 第 1 作者
(291) 用于X射线光刻掩模的纳米金刚石膜成核研究, Nucleation of Nano-Diamond Films for X-Ray Mask Substrates, JOURNAL OF INORGANIC MATERIALS, 2004, 第 4 作者  通讯作者
(292) X射线光刻掩模背面刻蚀过程中的形变仿真, 微细加工技术, 2004, 第 4 作者
(293) 电子束散射角限制投影光刻掩模研制, 光电工程, 2004, 第 6 作者
(294) 应用光力学效应的非制冷红外成像系统, 激光与红外, 2004, 第 2 作者
(295) 基于MEMS的光力学红外成像, 实验力学, 2004, 第 5 作者
(296) X射线光刻掩模后烘过程的瞬态热分析, 微细加工技术, 2003, 第 4 作者
(297) 同步辐射X射线光刻制作深亚微米T形栅, 微纳电子技术, 2002, 第 2 作者
(298) 应用于PHEMT器件的深亚微米T形栅光刻技术, 微纳电子技术, 2002, 第 2 作者
(299) 应用于PHEMT器件的深亚微米T型栅光刻技术, Deep-submicron T-shaped gate lithography technology for PHEMT device, 微纳电子技术, 2002, 第 2 作者
(300) 全新深亚微米X射线T型栅工艺, 北京同步辐射装置年报, 2001, 第 5 作者
(301) 电镀法制作活动微结构的牺牲层工艺, 微细加工技术, 2000, 第 1 作者

科研活动

   
科研项目
( 1 ) 中科院-北大率先合作团队, 负责人, 中国科学院计划, 2015-01--2020-12
( 2 ) 中青年科技创新领军人才-陈大鹏, 负责人, 国家任务, 2015-01--2017-12
( 3 ) 智能交通创新服务系统, 负责人, 中国科学院计划, 2012-01--2016-12
( 4 ) MEMS典型产品技术与设计服务平台, 负责人, 国家任务, 2011-01--2016-12