基本信息
唐志敏  男  博导  中国科学院计算技术研究所
电子邮件: tang@ict.ac.cn
通信地址: 北京海淀区科学院南路6号
邮政编码: 100190

研究领域

高性能计算机体系结构
多核与众核处理器设计
云计算与大数据处理体系结构

招生信息

   
招生专业
081201-计算机系统结构
招生方向
高性能计算机
处理器微体系结构

教育背景

1985-09--1990-03   中国科学院计算技术研究所   工学博士
1981-09--1985-07   南京大学计算机科学系   理学学士
学历
计算所 19870301--19900301 研究生毕业
学位
计算所 19870301--19900301 工学博士学位

工作经历


工作简历
2021-01~现在, 象帝先计算技术(重庆)有限公司, 董事长
2020-12~2022-11,上海处理器技术创新中心, 主任
2017-01~2020-12,先进微处理器技术国家工程实验室, 主任
2016-02~2020-03,海光信息技术有限公司, 总经理
2011-11~现在, 中国科学院计算技术研究所, 研究员
2006-05~2011-11,神州龙讯科技(北京)有限公司, 总经理
1990-04~2006-05,中国科学院计算技术研究所, 助理研究员、副研究员、研究员
社会兼职
2017-01-01-2021-12-31,《中国科技术语》编委会, 编委
2016-11-25-2020-12-31,国家重点研发计划“高性能计算”重点专项总体专家组, 成员
2016-01-01-今,全国科学技术名词审定委员会, 委员
2015-12-31-2020-12-30,《科技导报》编委会, 编委
2012-12-31-2015-12-31,《中国计算机学会通讯》, 执行主编
2012-06-08-2015-12-31,中国计算机学会计算机术语标准化工作委员会, 主任
2011-11-01-今,中国计算机学会信息存储专业委员会常委,
2011-05-31-2016-06-02,中国科学技术协会第八届常务委员会青年工作专门委员会, 委员
2011-05-30-2016-06-01,中国科学技术协会第八届全国委员会, 委员
2011-01-01-今,《计算机学报》编委,
2010-01-01-今,《中国科学:信息科学》编委,

教授课程

并行处理

专利与奖励

   
奖励信息
(1) 中国青年科技奖, , 国家级, 2004
(2) 中国科学院杰出科学技术成就奖, , 部委级, 2003
(3) 中国科学院科技进步奖, 二等奖, 部委级, 1995
专利成果
[1] 林海阳, 严明玉, 邹沫, 王铎, 李文明, 叶笑春, 唐志敏, 范东睿. 一种用于分布式训练同步阶段的自动优化方法. CN: CN114925826A, 2022-08-19.

[2] 林海阳, 严明玉, 邹沫, 王铎, 李文明, 叶笑春, 唐志敏, 范东睿. 一种用于分布式训练计算阶段的自动优化方法. CN: CN114911621A, 2022-08-16.

[3] 安述倩, 张明喆, 叶笑春, 王达, 张浩, 范东睿, 唐志敏. 一种数据流处理器指令映射方法及系统、装置. CN: CN110941451A, 2020-03-31.

[4] 谭旭, 唐志敏, 叶笑春, 王达, 张浩. 一种多目的数据传输网路路由装置、方法、芯片、路由器. CN: CN106161254B, 2019-06-18.

[5] 张洋, 唐志敏, 叶笑春, 张浩, 范东睿. 众核处理器片上访存距离优化的方法及其装置. CN: CN106339350B, 2019-01-11.

[6] 徐卫志, 唐志敏, 张志敏, 宋风龙. 一种实现多线程互斥操作的方法、装置和芯片. CN: CN104102549B, 2017-12-15.

[7] 唐士斌. 一种虚拟资源分配方法及装置. CN: CN104461730B, 2017-11-07.

[8] 熊海泉, 唐志敏, 张志敏, 范东睿. 一种操作系统进程识别跟踪及信息获取的方法和装置. CN: CN104007956B, 2017-08-04.

[9] 张洋, 唐志敏, 叶笑春, 张浩, 范东睿. 众核处理器片上访存距离优化的方法及其装置. CN: CN106339350A, 2017-01-18.

[10] 谭旭, 唐志敏, 叶笑春, 王达, 张浩. 一种无回边数据流循环方法、系统、装置、芯片. CN: CN106227508A, 2016-12-14.

[11] 谭旭, 唐志敏, 叶笑春, 王达, 张浩. 一种多目的数据传输网路路由装置、方法、芯片、路由器. CN: CN106161254A, 2016-11-23.

[12] 唐士斌, 唐志敏, 宋风龙, 叶笑春. 一种虚拟资源分配方法及装置. CN: CN104461730A, 2015-03-25.

[13] 徐卫志, 唐志敏, 张志敏, 宋风龙. 一种实现多线程互斥操作的方法、装置和芯片. CN: CN104102549A, 2014-10-15.

[14] 熊海泉, 唐志敏, 张志敏, 范东睿. 一种操作系统进程识别跟踪及信息获取的方法和装置. CN: CN104007956A, 2014-08-27.

[15] 蔡飞, 胡明昌, 曾洪博, 唐志敏. 一种控制两种不同速度总线间数据传送的方法. CN: CN100414524C, 2008-08-27.

[16] 范东睿, 唐志敏. 改进的虚拟地址变换方法及其装置. CN: CN100414518C, 2008-08-27.

[17] 范东睿, 唐志敏. 一种从虚拟地址向物理地址变换的方法及其装置. CN: CN100414519C, 2008-08-27.

[18] 黄海林, 范东睿, 许彤, 唐志敏. 一种单步执行在片调试功能的方法及装置. CN: CN100390752C, 2008-05-28.

[19] 张兆庆, 乔如良, 唐志敏, 冯晓兵. 含有显式高速缓冲存储器的计算机微体系结构. CN: CN100390755C, 2008-05-28.

[20] 黄海林, 唐志敏, 范东睿, 许彤. 用于虚实地址变换及读写高速缓冲存储器的方法及装置. CN: CN100377117C, 2008-03-26.

[21] 史岗, 胡明昌, 尹宏达, 胡伟武, 唐志敏. 一种用于虚拟共享存储系统的远程取页方法及网络接口卡. CN: CN100349142C, 2007-11-14.

[22] 蔡 飞, 胡明昌, 曾洪博, 唐志敏. 一种控制两种不同速度总线间数据传送的方法. CN: CN1936873A, 2007-03-28.

[23] 黄海林, 范东睿, 许 彤, 唐志敏. 一种单步执行在片调试功能的方法及装置. CN: CN1904851A, 2007-01-31.

[24] 黄海林, 唐志敏, 范东睿, 许 彤. 用于虚实地址变换及读写高速缓冲存储器的方法及装置. CN: CN1896972A, 2007-01-17.

[25] 范东睿, 唐志敏. 一种从虚拟地址向物理地址变换的方法及其装置. CN: CN1779663A, 2006-05-31.

[26] 范东睿, 唐志敏. 改进的虚拟地址变换方法及其装置. CN: CN1779662A, 2006-05-31.

[27] 胡伟武, 唐志敏, 冯雷. 基于操作队列复用的指令流水线系统和方法. CN: CN1234066C, 2005-12-28.

[28] 陈岚, 唐志敏. 非互补型的CMOS与非门电路结构. CN: CN1233094C, 2005-12-21.

[29] 史岗, 胡明昌, 尹宏达, 胡伟武, 唐志敏. 一种用于虚拟共享存储系统的远程取页方法及网络接口卡. CN: CN1705269A, 2005-12-07.

[30] 周旭, 唐志敏, 王海霞. 提高半规模双精度浮点乘法流水线效率的部件. CN: CN1220935C, 2005-09-28.

[31] 王海霞, 唐志敏, 周旭. 不必计算指数差而直接对阶的高速浮点加减部件. CN: CN1202469C, 2005-05-18.

[32] 胡明昌, 史岗, 唐志敏. 输入缓冲分布式调度的交叉开关交换电路. CN: CN2650400Y, 2004-10-20.

[33] 胡伟武, 张福新, 唐志敏. 动态索引的微处理器高速缓存方法. CN: CN1165000C, 2004-09-01.

[34] 胡伟武, 张福新, 李丙辰, 唐志敏. CPU硬件支持的系统攻击防范方法. CN: CN1152312C, 2004-06-02.

[35] 胡伟武, 张福新, 唐志敏. 动态索引的微处理器高速缓存方法. CN: CN1427341A, 2003-07-02.

[36] 陈岚, 唐志敏. 用于最大时间差流水线系统的非互补型的CMOS电路结构. CN: CN1420631A, 2003-05-28.

[37] 胡伟武, 张福新, 李丙辰, 唐志敏. CPU硬件支持的系统攻击防范方法. CN: CN1420441A, 2003-05-28.

[38] 陈岚, 唐志敏. 用于最大时间差流水线系统的非互补型的CMOS电路结构. CN: CN1420631A, 2003-05-28.

[39] 周旭, 唐志敏, 王海霞. 提高半规模双精度浮点乘法流水线效率的结构. CN: CN1410880A, 2003-04-16.

[40] 胡伟武, 唐志敏, 冯雷. 基于操作队列复用的指令流水线系统和方法. CN: CN1410885A, 2003-04-16.

[41] 王海霞, 唐志敏, 周旭. 不必计算指数差而直接对阶的高速浮点加减部件. CN: CN1410877A, 2003-04-16.

[42] 周旭, 唐志敏, 王海霞. 提高半规模双精度浮点乘法流水线效率的结构. CN: CN1410880A, 2003-04-16.

出版信息

   
发表论文
(1) GEM: Execution-Aware Cache Management for Graph Analytics, ICA3PP, 2022, 第 4 作者
(2) Accelerating Graph Processing With Lightweight Learning-Based Data Reordering, IEEE COMPUTER ARCHITECTURE LETTERS, 2022, 第 7 作者
(3) Accelerating Data Transfer in Dataflow Architectures Through a Look-Ahead Acknowledgment Mechanism, Accelerating Data Transfer in Dataflow Architectures Through a Look-Ahead Acknowledgment Mechanism, JOURNAL OF COMPUTER SCIENCE AND TECHNOLOGY, 2022, 第 9 作者
(4) BSR-TC: Adaptively Sampling for Accurate Triangle Counting over Evolving Graph Streams, International Journal of Software Engineering and Knowledge Engineering, 2021, 第 4 作者
(5) 图神经网络加速结构综述, Survey on Graph Neural Network Acceleration Architectures, 计算机研究与发展, 2021, 第 7 作者
(6) 面向异构多核处理器的FPGA 验证, 计算机研究与发展, 2021, 第 1 作者
(7) Pixel-Semantic Revising of Position: One-Stage Object Detector with Shared Encoder-Decoder, The 27th International Conference on Neural Information Processing (ICONIP2020), 2020, 
(8) Video Face Recognition System: RetinaFace-mnet-faster and Secondary Search, 2020, 第 5 作者
(9) NDP-Ledger:面向区块链应用的通用高通量加速架构, NDP-Ledger:a high-throughput general-purpose acceleration architecture for blockchain applications, 高技术通讯, 2020, 第 8 作者
(10) C-MAP: Improving the Effectiveness of Mapping Method for CGRA by Reducing NoC Congestion, HPCC 2019, 2019, 
(11) PIM-WEAVER: A High Energy-efficient, General-purpose Acceleration Architecture for String Operations in Big Data Processing, SUSTAINABLE COMPUTING-INFORMATICS & SYSTEMS, 2019, 第 5 作者
(12) 基于推测机制异构多核处理器容错方法与仿真, Fault-tolerant Method and Simulation of Heterogeneous Multi-core Processor Based on Speculative Mechanism, 系统仿真学报, 2019, 第 2 作者
(13) PIM-WEAVER: A High Energy-efficient, General-purpose Acceleration Architecture for String Operations in Big Data Processing, SUSTAINABLE COMPUTING: INFORMATICS AND SYSTEMS, 2019, 第 5 作者
(14) 新一代云计算服务器技术与系统, 中国科技成果, 2018, 第 1 作者
(15) Optimizing network efficiency of dataflow architectures through dynamic packet merging, 2018 NINTH INTERNATIONAL GREEN AND SUSTAINABLE COMPUTING CONFERENCE (IGSC), 2018, 第 6 作者
(16) A Pipelining Loop Optimization Method for Dataflow Architecture, A Pipelining Loop Optimization Method for Dataflow Architecture, JOURNAL OF COMPUTER SCIENCE AND TECHNOLOGY, 2018, 第 9 作者
(17) 基于网络负载特征感知的数据流指令调度机制研究, Study of network loading based instruction scheduling mechanism in dataflow architecture, 高技术通讯, 2018, 第 6 作者
(18) A Non-Stop Double Buffering Mechanism for Dataflow Architecture, A Non-Stop Double Buffering Mechanism for Dataflow Architecture, JOURNAL OF COMPUTER SCIENCE AND TECHNOLOGY, 2018, 第 9 作者
(19) 异构多核的一种高性能容错调度方法与仿真, High Performance Fault-tolerant Scheduling Method and Simulation for Heterogeneous Multicore, 系统仿真学报, 2018, 第 2 作者
(20) Optimizing the efficiency of data transfer in dataflow architectures, IEEE 20TH INTERNATIONAL CONFERENCE ON HIGH PERFORMANCE COMPUTING AND COMMUNICATIONS / IEEE 16TH INTERNATIONAL CONFERENCE ON SMART CITY / IEEE 4TH INTERNATIONAL CONFERENCE ON DATA SCIENCE AND SYSTEMS (HPCC/SMARTCITY/DSS), 2018, 第 7 作者
(21) 一种面向科学计算的数据流优化方法, Optimizing Dataflow Architecture for Scientific Applications, 计算机学报, 2017, 第 9 作者
(22) LFF:一种面向大数据应用的众核处理器访存公平性调度机制, LFF:A many-core processor' s access fairness scheduling scheme for big data applications, 高技术通讯, 2017, 第 7 作者
(23) 基于数据流块的空间指令调度方法, The Data-Flow Block Based Spatial Instruction Scheduling Method, 计算机研究与发展, 2017, 第 6 作者
(24) 高端处理器芯片的技术趋势与可持续发展, The technology trend and sustainable development of high-end MPU, 电子产品世界, 2017, 第 1 作者
(25) POSTER: An Optimization of Dataflow Architectures for Scientific Applications, 2016INTERNATIONALCONFERENCEONPARALLELARCHITECTUREANDCOMPILATIONTECHNIQUESPACT, 2016, 第 7 作者
(26) TH Express-2 reaches new heights for supercomputer interconnects, TH Express-2 reaches new heights for supercomputer interconnects, NATIONAL SCIENCE REVIEW, 2016, 通讯作者
(27) A Framework for Energy-efficient Optimization on Multi-Cores, 2016 SEVENTH INTERNATIONAL GREEN AND SUSTAINABLE COMPUTING CONFERENCE (IGSC), 2016, 第 8 作者
(28) TH Express-2 reaches new heights for supercomputer interconnects, TH Express-2 reaches new heights for supercomputer interconnects, 国家科学评论(英文版), 2016, 第 1 作者
(29) Memory Partition for SIMD in Streaming Dataflow Architectures, 2016 SEVENTH INTERNATIONAL GREEN AND SUSTAINABLE COMPUTING CONFERENCE (IGSC), 2016, 第 6 作者
(30) 浅议计算机名词“文件”的定义, On the Definition of Computer Term File, 中国科技术语, 2015, 第 2 作者
(31) 关于计算机操作系统的定义, On the Definition of Operating System, 中国科技术语, 2014, 第 2 作者
(32) 探究高性能计算机与计算机科学, 信息通信, 2014, 
(33) 支持多核并行程序确定性重放的高效访存冲突记录方法, High Efficient Memory Race Recording Scheme for Parallel Program Deterministic Replay Under Multi-Core Architecture, 计算机研究与发展, 2012, 第 3 作者
(34) 计算与通信相结合的体系结构, 集成技术, 2012, 第 1 作者
(35) 龙芯2号处理器的同时多线程设计, Design of the Simultaneous Multithreading Godson-2 Processor, 计算机学报, 2009, 第 4 作者
(36) Chip Multithreaded Consistency Model, Chip Multithreaded Consistency Model, 计算机科学技术学报:英文版, 2008, 第 4 作者
(37) Chip Multithreaded Consistency Model, JOURNAL OF COMPUTER SCIENCE AND TECHNOLOGY, 2008, 第 4 作者
(38) 一种AHB2AHB桥的设计与实现, Design and Implementation of AHB2AHB Bridge, 计算机工程, 2008, 第 2 作者
(39) Delaunay三角网表示和点删除方法, Delaunay TIN expression and point deletion method, 计算机工程与设计, 2008, 第 3 作者
(40) 龙芯2号同时多线程处理器的软硬件接口设计, Hardware/Software Interface Design of Godson-2 Simultaneous Muitithreading Processor, 软件学报, 2007, 第 4 作者
(41) 一种高性能北桥芯片的设计及性能分析, Design Implementation and Performance Analysis of a High Performance Northbridge, 计算机研究与发展, 2007, 第 5 作者
(42) 基于程序周期行为的快速模拟方法, Fast Simulation Method Based on Periodic Behavior of Programs, 计算机工程, 2007, 第 3 作者
(43) Microarchitecture and performance analysis of godson-2 SMT processor, PROCEEDINGS 2006 INTERNATIONAL CONFERENCE ON COMPUTER DESIGN, 2007, 第 4 作者
(44) 基于龙芯CPU的多核全系统模拟器SimOS—Goodson, SimOS-Goodson: A Goodson-Processor Based Multi-Core Full-System Simulator, 软件学报, 2007, 第 6 作者
(45) 同时多微线程体系结构研究, Research on Simultaneous Multi-Microthreading Architecture, 计算机研究与发展, 2007, 第 4 作者
(46) 织女星灌区地理信息系统的设计与实现, Design and Implementation of Vega Irrigation GIS, 计算机工程, 2007, 第 3 作者
(47) C++编码中减少内存缺陷的方法和工具, Techniques and Tools of Defending Memory-related Defects in Software Coded in C++, 计算机工程, 2007, 第 3 作者
(48) 嵌入式处理器TLB设计方法研究, Research on TLB Design Methodology of Embedded Processor, 计算机学报, 2006, 第 3 作者
(49) 一类复杂芯片的FPGA验证, FPGA Verification for a Kind of Complicated Chip, 计算机工程, 2006, 第 4 作者
(50) 嵌入式处理器中降低Cache缺失代价设计方法研究, Research on Reducing Cache Miss Penalty of Embedded Processor, 小型微型计算机系统, 2006, 第 4 作者
(51) 嵌入式处理器中访存部件的低功耗设计研究, Research on Low-Power Memory Hierarchy of Embedded Processor, 计算机学报, 2006, 第 4 作者
(52) STL算法在GIS中的应用, STL Algorithms Applied in GIS, 计算机工程, 2006, 第 3 作者
(53) 一种处理器系统接口部件的设计与实现, Design and Implementation of the Interface Component for Processor Systems, 计算机工程与科学, 2006, 第 4 作者
(54) 龙芯1号处理器的故障注入方法与软错误敏感性分析, Fault Injection and Soft Error Sensitivity Characterization for Fault-Tolerant Godson-1 Processor, 计算机研究与发展, 2006, 第 2 作者
(55) 基于C++的内部类的实现以及应用, The Implementation and Application of Inner Class Emulation in C++, 计算机工程与应用, 2006, 第 3 作者
(56) 一种减少内存访问延时的方法, A Method of Reducing the Latency of Memory Access, 计算机工程, 2006, 第 2 作者
(57) Visitor模式在GIS要素绘制中的应用, Visitor Design Pattern Applied to GIS Element Drawing, 计算机工程与应用, 2005, 第 3 作者
(58) C++的动态多态和静态多态, Static and Dynamic Polymorphism in C++, 计算机工程, 2005, 第 3 作者
(59) 基于C++的软件编码阶段的质量保证方法和工具, Quality Assurance Methods and Tools in the Coding Phase of Software Programmed in C++, 计算机工程与应用, 2005, 第 3 作者
(60) 基于ORDB的空间数据库的研究与实现, Research and Implementation of Spatial Database Based on ORDB, 计算机工程, 2005, 第 3 作者
(61) 片上多处理器发展趋势, 中国计算机学会通信, 2005, 第 1 作者
(62) 织女星地理信息系统VegaGIS中的空间数据引擎CoSDE, CoSDE:spatial database engine of VegaGIS, 计算机应用, 2005, 第 3 作者
(63) 可恢复的软件DSM系统JIACKPT, JIACKPT: A Recoverable Software Distributed Shared Memory System, 软件学报, 2005, 第 4 作者
(64) 龙芯系列CPU芯片及其应用前景, 中国信息导报, 2005, 第 1 作者
(65) 消除C++中的私有函数, Removing Private Functions in C++, 计算机工程与应用, 2005, 第 3 作者
(66) 基于DDRSDRAM控制器时序分析的模型, Timing Logical Expression Based on DDR SDRAM Controller Signals, 计算机工程, 2005, 第 3 作者
(67) 基于MSC—A芯片的访存通道实现及性能优化, Implementation of Memory Access Channel Based on MSC-A Chip and Its Performance Optimization, 微电子学与计算机, 2004, 第 3 作者
(68) 机群OpenMP系统的设计与实现, Design and Implementation of OpenMP on Cluster Systems, 计算机学报, 2004, 第 5 作者
(69) 基于用户兴趣模型的程序性能改善, Performance improvement of program based on user interest model, 计算机应用, 2004, 第 3 作者
(70) Smith-Waterman算法在脉动阵列上的实现及分析, The Implementation and Analysis of Smith-Waterman Algorithm on Systolic Array, 计算机学报, 2004, 第 2 作者
(71) 一次通过的物理综合流程的设计实例——龙芯1的设计流程建立, 中国集成电路, 2003, 第 2 作者
(72) 软件流水的低功耗编译技术研究, Study on the Low Power Technology of Software Pipeline, 软件学报, 2003, 第 2 作者
(73) 一种CPU芯片硬件验证调试平台的设计与实现, Design and Implementation of a CPU Chip Hardware Test and Debug Platform, 计算机研究与发展, 2003, 第 3 作者
(74) 通信对机群并行计算性能的影响, How Does Communication Influence Cluster's Parallel Computing Performance, 小型微型计算机系统, 2003, 第 1 作者
(75) 一种快速的浮点乘法器结构, A Fast Floating-Point Multiplier Architecture, 计算机研究与发展, 2003, 第 2 作者
(76) 一种基于CPLD的I/O总线驱动液晶显示的方法, A Liquid Crystal Displayed Method of I/O Bus Driving Based on CPLD, 计算机科学, 2003, 第 3 作者
(77) 龙芯1号处理器结构设计, Architecture of the Godson-1 Processor, 计算机学报, 2003, 第 2 作者
(78) 龙芯系列CPU芯片, 中国集成电路, 2003, 第 1 作者
(79) PC机群上JIAJIA与MPI的比较, Comparing JIAJIA with MPI on PC Cluster, 软件学报, 2003, 第 4 作者
(80) 低功耗多线程编译优化技术, A Multithreaded Compiler Optimization Technology with Low Power, 软件学报, 2002, 第 2 作者
(81) 单片系统(SoC)设计技术, SoC design technology, 计算机研究与发展, 2002, 第 2 作者
(82) 编译低功耗优化技术的研究, Technology Studies for Low Power at Compiling Optimization Time, 计算机工程与应用, 2002, 第 2 作者
(83) 单片机系统(SoC)设计技术, 计算机研究与发展, 2002, 第 2 作者
(84) DSDM:一种基于机群系统的多屏显示接口, DSDM: A cluster based interface for muti-screen display, 计算机研究与发展, 2002, 第 2 作者
(85) 基于资源目录技术的网格系统软件设计与实现, Desing and implementation of resource directory in national high performance computing environment, 计算机研究与发展, 2002, 第 3 作者
(86) 低功耗SMT体系结构研究, A study of SMT architecture for low power, 计算机工程与设计, 2002, 第 2 作者
(87) 龙芯1号通用CPU芯片的研制, Godson-1 General-Purposed CPU Chip, 中国科学院院刊, 2002, 第 1 作者
(88) 一种支持无符号数的流水线乘法器, A Pipeline Multiplier Design, 微电子学与计算机, 2002, 第 2 作者
(89) 编译指导的多线程低功耗技术研究, A study of the compiler-directed low power multithreading technology, 计算机研究与发展, 2002, 第 2 作者
(90) IXP2400网络处理器低功耗技术应用研究, A Study on the Application of Low Power Technologies of IXP2400 Network Processor, 计算机工程与应用, 2002, 第 2 作者
(91) 曙光1000A上消息传递与共享存储的比较, 计算机学报, 2000, 第 1 作者
(92) 曙光1000A上共享存储与消息传递的比较, 计算机学报, 2000, 第 1 作者
(93) Where Does the Time Go in Software DSMs?——Experiences with JIAJIA, Where does the time go in software DSMs? ?? experiences with JIAJIA, 计算机科学技术学报:英文版, 1999, 第 2 作者
(94) 分布共享存储系统中的数据预送技术, 计算机学报, 1999, 第 1 作者
(95) A Framework of Memory Consistency Models, A framework of memory consistency models, 计算机科学技术学报:英文版, 1998, 第 3 作者
(96) Maximum Time Difference Pipelined Arithmetic Units Based on CMOS Gate Array, Journal of Computer Science and Technology, Vol.10, No.2, 97-103, 1995, 第 1 作者
(97) BJ—01并行计算机的系统软件, 计算机学报, 1993, 第 1 作者
(98) Pipelined Global Data Communication on Hypertoruses, Pipelined Global Data Communication on Hypertoruses, 计算机科学技术学报:英文版, 1992, 第 1 作者
(99) On the Reduction of Connections in Hopfield Associative Memories, Proceedings of 1991 China International Conference on Circuits and Systems,282-285, 1991, 第 1 作者
(100) Optimal Granularity of Grid Iteration Problems, Proceedings of 1990 International Conference on Parallel Processing,Vol. I, 111-118, 1990, 第 1 作者

科研活动

   
科研项目
( 1 ) 超并行高效能计算机体系结构与设计方法研究, 参与, 国家任务, 2010-01--2012-12
( 2 ) 面向高通量计算的可扩展、高效能并行微结构, 参与, 国家任务, 2011-01--2015-08
( 3 ) 高性能功耗比的通用处理器结构, 负责人, 研究所自选, 2012-07--2014-06
( 4 ) 数据并行与线程并行合一的可伸缩处理器体系结构, 负责人, 国家任务, 2014-01--2018-12
( 5 ) 面向地球科学的高性能计算机系统, 负责人, 国家任务, 2015-03--2016-03
( 6 ) 通用新型众核处理器架构研究, 负责人, 研究所自选, 2013-01--2016-12
( 7 ) 新一代云计算服务器技术与系统, 负责人, 国家任务, 2017-09--2021-08
( 8 ) 开源芯片异构计算生态研发, 负责人, 地方任务, 2021-09--2023-08
( 9 ) 处理器研发和公共技术服务平台建设, 负责人, 地方任务, 2021-10--2022-12
参与会议
(1)可持续的高性能计算   中国计算机大会   唐志敏   2012-10-25
(2)高通量处理器展望   微处理器技术论坛   唐志敏   2012-08-16

指导学生

已指导学生

刘磊  博士研究生  081201-计算机系统结构  

安述倩  博士研究生  081201-计算机系统结构  

张伍召  硕士研究生  081201-计算机系统结构  

李戈  硕士研究生  085211-计算机技术  

高敦桥  硕士研究生  081201-计算机系统结构  

曾义和  硕士研究生  081201-计算机系统结构  

倪文显  硕士研究生  085211-计算机技术  

余世干  博士研究生  081201-计算机系统结构  

谢莫园  硕士研究生  081201-计算机系统结构  

高娜  硕士研究生  081201-计算机系统结构  

李潜  硕士研究生  081201-计算机系统结构  

王侃  硕士研究生  081201-计算机系统结构  

轩伟  博士研究生  081201-计算机系统结构  

郑祥雨  硕士研究生  081201-计算机系统结构  

现指导学生

邹沫  博士研究生  081201-计算机系统结构  

李小波  博士研究生  081201-计算机系统结构  

余发洪  博士研究生  081201-计算机系统结构  

程星  硕士研究生  081201-计算机系统结构  

李平  博士研究生  081201-计算机系统结构  

邱宇航  博士研究生  081201-计算机系统结构  

薛润桢  硕士研究生  081201-计算机系统结构  

宋舒寒  博士研究生  081200-计算机科学与技术  

张洪瑞  硕士研究生  081200-计算机科学与技术