基本信息
严明玉  男  硕导  中国科学院计算技术研究所
电子邮件: yanmingyu@ict.ac.cn
通信地址: 中国科学院计算技术研究所
邮政编码: 100095

招生信息

   
招生专业
081201-计算机系统结构
081203-计算机应用技术
招生方向
计算机体系结构
高通量处理器结构
图处理器结构

教育背景

2018-01--2020-02   美国加州大学圣塔芭芭拉分校   联培博士
2014-09--2020-07   中国科学院大学(中国科学院计算技术研究所)   博士
2010-09--2014-07   广东工业大学   学士

工作经历

   
工作简历
2022-09~现在, 中国科学院计算技术研究所, 副研究员
2020-07~2022-09,中国科学院计算技术研究所, 特别研究助理

专利与奖励

   
奖励信息
(1) 中国科学院青年创新促进会会员, 其他, 2023
(2) 中国计算学会优秀博士学位论文(优秀博士学位论文激励计划), 其他, 2022
(3) 中国计算学会青年人才发展计划, 其他, 2022
(4) 北京市技术发明一等奖, 一等奖, 省级, 2020
专利成果
( 1 ) 一种面向图神经网络应用的片上存储系统及方法, [[[", 第 1 作者, 专利号: [[[CN111695685A]]]

( 2 ) 一种面向图神经网络应用的任务调度执行系统及方法, [[[", 第 1 作者, 专利号: [[[CN111694643A]]]

( 3 ) 一种面向图神经网络应用的任务调度执行系统及方法, 2023, 第 1 作者, 专利号: CN111694643B

( 4 ) 一种应用于图神经网络训练的采样方法及采样加速装置, 发明专利, 2023, 第 2 作者, 专利号: CN115600658A

( 5 ) 一种两写两读的数据传输结构以及片上多通道交互网络, 发明专利, 2022, 第 3 作者, 专利号: CN114968861A

( 6 ) 一种用于分布式训练同步阶段的自动优化方法, 发明专利, 2022, 第 2 作者, 专利号: CN114925826A

( 7 ) 一种用于分布式训练计算阶段的自动优化方法, 发明专利, 2022, 第 2 作者, 专利号: CN114911621A

( 8 ) 基于检查点的计算机的容错方法, 2020, 第 1 作者, 专利号: CN107193692B

出版信息

   
发表论文
[1] Xue, Runzhen, Yan, Mingyu. FanGDR-HGNN: A Heterogeneous Graph Neural Networks Accelerator Frontend with Graph Decoupling and Recoupling. 61st ACM/IEEE Design Automation Conference (DAC)null. 2024, [2] Yang, Xiaocheng, Yan, Mingyu. Simple and Efficient Heterogeneous Graph Neural Network. AAAI Conference on Artificial Intelligence (AAAI)null. 2023, [3] Lin, Haiyang, Yan, Mingyu, Ye, Xiaochun, Fan, Dongrui, Pan, Shirui, Wenguang Chen, Xie Yuan. A Comprehensive Survey on Distributed Training of Graph Neural Networks. Proceedings of the IEEE[J]. 2023, https://ieeexplore.ieee.org/abstract/document/10348966.
[4] Wang, Duo, Yan, Mingyu. A Transfer Learning Framework for High-Accurate Cross-Workload Design Space Exploration of CPU. 2023 IEEE/ACM International Conference On Computer Aided Design (ICCAD)null. 2023, [5] Wang, Duo, Yan, Mingyu. A High-accurate Multi-objective Exploration Framework for Design Space of CPU. 60th ACM/IEEE Design Automation Conference (DAC)null. 2023, [6] Liu, Xin, Yan, Mingyu, Deng, Lei, Li, Guoqi, Ye, Xiaochun, Fan, Dongrui. Sampling Methods for Efficient Training of Graph Convolutional Networks: A Survey. IEEE-CAA JOURNAL OF AUTOMATICA SINICAnull. 2022, 9(2): 205-234, http://dx.doi.org/10.1109/JAS.2021.1004311.
[7] Sun, Gongjian, Yan, Mingyu, Wang, Duo, Li, Han, Li, Wenming, Ye, Xiaochun, Fan, Dongrui, Xie, Yuan. Multi-node Acceleration for Large-scale GCNs. IEEE TRANSACTIONS ON COMPUTERS[J]. 2022, https://ieeexplore.ieee.org/document/9893364.
[8] Chen, Xiaobing, Wang, Yuke, Xie, Xinfeng, Hu, Xing, Basak, Abanti, Liang, Ling, Yan, Mingyu, Deng, Lei, Ding, Yufei, Du, Zidong, Xie, Yuan. Rubik: A Hierarchical Architecture for Efficient Graph Neural Network Training. IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS[J]. 2022, 41(4): 936-949, http://dx.doi.org/10.1109/TCAD.2021.3079142.
[9] Yan, Mingyu, Zou, Mo, Yang, Xiaocheng, Li, Wenming, Ye, Xiaochun, Fan, Dongrui, Xie, Yuan. Characterizing and Understanding HGNNs on GPUs. IEEE COMPUTER ARCHITECTURE LETTERS[J]. 2022, 21(2): 69-72, http://dx.doi.org/10.1109/LCA.2022.3198281.
[10] Lin, Haiyang, Yan, Mingyu, Yang, Xiaocheng, Zou, Mo, Li, Wenming, Ye, Xiaochun, Fan, Dongrui. Characterizing and Understanding Distributed GNN Training on GPUs. IEEE COMPUTER ARCHITECTURE LETTERS[J]. 2022, 21(1): 21-24, http://dx.doi.org/10.1109/LCA.2022.3168067.
[11] Lin, Haiyang, Yan, Mingyu, Wang, Duo, Zou, Mo, Tu, Fengbin, Ye, Xiaochun, Fan, Dongrui, Xie, Yuan. Alleviating Datapath Conflicts and Design Centralization in Graph Analytics Acceleration. DESIGN AUTOMATION CONFERENCEnull. 2022, https://dl.acm.org/doi/10.1145/3489517.3530524.
[12] 严明玉, 李涵, 邓磊, 胡杏, 叶笑春, 张志敏, 范东睿, 谢源. 图计算加速架构综述. 计算机研究与发展[J]. 2021, 58(4): 862-887, http://lib.cqvip.com/Qikan/Article/Detail?id=7104271412.
[13] Li, Han, Yan, Mingyu, Yang, Xiaocheng, Deng, Lei, Li, Wenming, Ye, Xiaochun, Fan, Dongrui, Xie, Yuan. Hardware Acceleration for GCNs via Bidirectional Fusion. IEEE COMPUTER ARCHITECTURE LETTERS[J]. 2021, 20(1): [14] Yan, Mingyu, Chen, Zhaodong, Deng, Lei, Ye, Xiaochun, Zhang, Zhimin, Fan, Dongrui, Xie, Yuan. Characterizing and Understanding GCNs on GPU. IEEE COMPUTER ARCHITECTURE LETTERS[J]. 2020, 19(1): 22-25, http://dx.doi.org/10.1109/LCA.2020.2970395.
[15] Yan, Mingyu, Deng, Lei, Hu, Xing, Liang, Ling, Feng, Yujing, Ye, Xiaochun, Zhang, Zhimin, Fan, Dongrui, Xie, Yuan. HyGCN: A GCN Accelerator with Hybrid Architecture. 2020 IEEE INTERNATIONAL SYMPOSIUM ON HIGH PERFORMANCE COMPUTER ARCHITECTURE (HPCA 2020)null. 2020, 15-29, [16] Yan Mingyu, Hu Xing, Li Shuangchen, Basak Abanti, Li Han, Ma Xin, Akgun Itir, Peng Yujing, Gu Peng, Deng Lei, Ye Xiaochun, Zhang Zhimin, Fan Dongrui, Xie Yuan. Alleviating Irregularity in Graph Analytics Acceleration: a Hardware/Software Co-Design Approach. MICRO'52: THE 52ND ANNUAL IEEE/ACM INTERNATIONAL SYMPOSIUM ON MICROARCHITECTUREnull. 2019, 615-628, http://dx.doi.org/10.1145/3352460.3358318.
发表著作
(1) 图智能芯片, 科学出版社, 2023-01, 第 1 作者

科研活动

   
科研项目
( 1 ) 图神经网络处理器集群的通信结构优化研究, 负责人, 国家任务, 2023-01--2025-12
( 2 ) 深度学习处理器的能效极限理论及应用, 负责人, 中国科学院计划, 2021-06--2026-05