基本信息
高建峰  男  硕导  中国科学院微电子研究所
电子邮件: gaojianfeng@ime.ac.cn
通信地址: 北京市朝阳区北土城西路3号
邮政编码:

招生信息

   
招生专业
080903-微电子学与固体电子学
085400-电子信息
招生方向
新材料新结构器件工艺技术
集成电路工艺与器件

教育背景

2002-09--2005-04   北京科技大学   工学硕士
1998-09--2002-07   中国矿业大学   工学学士

工作经历

   
工作简历
2023-11~现在, 中国科学院微电子研究所, 正高级工程师
2012-05~2023-11,中国科学院微电子研究所, 副研究员
2005-05~2012-04,中芯国际集成电路(北京)有限公司, 主任工程师
2002-09~2005-04,北京科技大学, 工学硕士
1998-09~2002-07,中国矿业大学, 工学学士

专利与奖励

   
奖励信息
(1) 中国科学院微电子所“先进工作者”(十佳), , 研究所(学校), 2022
(2) 中国科学院微电子所“先进工作者”(十佳), , 研究所(学校), 2021
(3) 北京信息电子技术大型仪器区域中心“优秀个人”, 市地级, 2018
(4) 中国科学院微电子所“先进工作者”(十佳), , 研究所(学校), 2013
专利成果
( 1 ) 半导体制造方法和半导体结构, 2022, 第 2 作者, 专利号: CN114256143A

( 2 ) 一种半导体器件的制造方法及半导体器件, 2022, 第 4 作者, 专利号: CN114203903A

( 3 ) 气体喷头的清洁系统及清洁方法, 2022, 第 3 作者, 专利号: CN114192482A

( 4 ) 水平纳米通道阵列、微纳流控芯片及其制作方法, 2022, 第 3 作者, 专利号: CN111569963B

( 5 ) 半导体器件及其制造方法、存储器、电子设备, 2022, 第 2 作者, 专利号: CN114141749A

( 6 ) 清洗装置以及清洗装置的控制方法, 2022, 第 2 作者, 专利号: CN114141652A

( 7 ) 压力疏通装置, 2022, 第 4 作者, 专利号: CN114112372A

( 8 ) 制备双大马士革结构的方法, 2022, 第 2 作者, 专利号: CN114121779A

( 9 ) 电容装置及其制备方法、存储器, 2022, 第 2 作者, 专利号: CN114121902A

( 10 ) 具有气隙的互连结构及方法, 2022, 第 2 作者, 专利号: CN114121881A

( 11 ) 一种半导体器件及其制造方法, 2022, 第 2 作者, 专利号: CN114093943A

( 12 ) 一种半导体结构、其制造方法及DRAM, 2022, 第 4 作者, 专利号: CN114093942A

( 13 ) 一种晶体管器件、其形成方法和DRAM, 2022, 第 4 作者, 专利号: CN114093941A

( 14 ) 在湿法腐蚀衬底硅中保护正面电路的方法及微电子机械器件, 2022, 第 5 作者, 专利号: CN114057157A

( 15 ) 半导体存储器、其制作方法及电子设备, 2022, 第 4 作者, 专利号: CN114068537A

( 16 ) 一种半导体电容器结构及其制造方法、存储器、电子设备, 2022, 第 5 作者, 专利号: CN114068538A

( 17 ) 一种沟槽缺陷修复方法, 2022, 第 5 作者, 专利号: CN114068297A

( 18 ) 一种半导体器件及其制备方法、电子设备, 2022, 第 7 作者, 专利号: CN114038911A

( 19 ) 一种半导体结构及其制备方法、三维存储器, 2022, 第 1 作者, 专利号: CN114023745A

( 20 ) 一种栅极结构及其制造方法、半导体器件、芯片, 2022, 第 2 作者, 专利号: CN114005745A

( 21 ) 一种刻蚀方法、半导体器件及电子设备, 2022, 第 2 作者, 专利号: CN113972129A

( 22 ) 一种半导体结构的制造方法及系统, 2022, 第 5 作者, 专利号: CN113972133A

( 23 ) 一种烟气处理装置、半导体制造设备以及烟气处理方法, 2022, 第 6 作者, 专利号: CN113941211A

( 24 ) 一种回收装置、回收方法和回收系统, 2022, 第 6 作者, 专利号: CN113948415A

( 25 ) 半导体结构、其制作方法、半导体存储器及电子设备, 2021, 第 5 作者, 专利号: CN113838851A

( 26 ) 一种二极管、探测器及探测器的制作方法, 2021, 第 6 作者, 专利号: CN111244193B

( 27 ) 存储器电路及其数据写入和读取方法、存储器、电子设备, 2021, 第 6 作者, 专利号: CN113744776A

( 28 ) 一种金属硅化物的制备方法、半导体器件、电子设备, 2021, 第 5 作者, 专利号: CN113690133A

( 29 ) 一种高光谱图像传感器单片集成方法、传感器及成像设备, 2021, 第 1 作者, 专利号: CN110867461B

( 30 ) 一种半导体器件及其形成方法、电子设备, 2021, 第 2 作者, 专利号: CN113517286A

( 31 ) 一种氧化物半导体器件及其制备方法, 2021, 第 7 作者, 专利号: CN113471295A

( 32 ) 约瑟夫森结的制备方法及约瑟夫森结, 2021, 第 1 作者, 专利号: CN113380942A

( 33 ) 一种半导体器件及其制造方法, 2021, 第 1 作者, 专利号: CN113299829A

( 34 ) 一种二维半导体场效应管及其制备工艺、一种半导体器件, 2021, 第 1 作者, 专利号: CN113206091A

( 35 ) 位线两侧气隙及半导体结构的制造方法, 2021, 第 1 作者, 专利号: CN112928064A

( 36 ) 一种阻变存储器及其制备方法, 2021, 第 1 作者, 专利号: CN112909167A

( 37 ) 一种阻变存储器及其制备方法, 2021, 第 1 作者, 专利号: CN112909167A

( 38 ) 一种低噪声热电堆器件的制作方法, 2021, 第 4 作者, 专利号: CN112563403A

( 39 ) 一种悬桥结构热电堆器件的制作方法, 2021, 第 3 作者, 专利号: CN112563402A

( 40 ) 一种纳米森林结构的制备方法, 2021, 第 4 作者, 专利号: CN112520688A

( 41 ) 一种远程等离子体输送管以及远程等离子体处理设备, 2021, 第 3 作者, 专利号: CN112259432A

( 42 ) 堆叠纳米线环栅器件及其制作方法, 2020, 第 3 作者, 专利号: CN112151386A

( 43 ) 一种接触孔的检测方法及半导体产品的处理方法, 2020, 第 4 作者, 专利号: CN112017983A

( 44 ) 高吸收热电堆及其制作方法, 2020, 第 4 作者, 专利号: CN111969098A

( 45 ) 高吸收纳米结构热电堆及其制作方法, 2020, 第 4 作者, 专利号: CN111964794A

( 46 ) 一种磁性随机存储器及其制造方法, 2020, 第 2 作者, 专利号: CN111952438A

( 47 ) 高光谱图像传感器的单片集成方法、高光谱图像传感器及成像设备, 2020, 第 1 作者, 专利号: CN111952326A

( 48 ) 半导体结构及其制造方法、半导体器件、芯片, 2020, 第 2 作者, 专利号: CN111900145A

( 49 ) 一种半导体制造方法和半导体, 2020, 第 2 作者, 专利号: CN111900126A

( 50 ) 氧化钇薄膜的形成方法及系统, 2020, 第 2 作者, 专利号: CN111653477A

( 51 ) 热电堆及其制作方法, 2020, 第 4 作者, 专利号: CN111540824A

( 52 ) 一种半导体结构及其形成方法、半导体器件、芯片, 2020, 第 3 作者, 专利号: CN111430324A

( 53 ) 半导体互连结构、其制作方法及半导体芯片, 2020, 第 2 作者, 专利号: CN111430330A

( 54 ) 一种金属纳米结构及其制作方法、电子器件、电子设备, 2020, 第 3 作者, 专利号: CN111415902A

( 55 ) 衬底键合方法、三维集成基板及电路、电子设备和芯片, 2020, 第 1 作者, 专利号: CN111370321A

( 56 ) 一种存储器件及其制作方法、存储器及电子设备, 2020, 第 1 作者, 专利号: CN111341909A

( 57 ) 一种电子器件及其制作方法、集成电路和电子设备, 2020, 第 4 作者, 专利号: CN111211110A

( 58 ) 一种热电堆及其制备方法、探测器, 2020, 第 4 作者, 专利号: CN111207828A

( 59 ) 一种STT-MRAM存储器单元及其制备方法, 2020, 第 1 作者, 专利号: CN111081867A

( 60 ) 一种刻蚀方法, 2020, 第 2 作者, 专利号: CN111063798A

( 61 ) 一种钽掩模的制备方法, 2020, 第 1 作者, 专利号: CN111009462A

( 62 ) 一种金属纳米线或片的制作方法及纳米线或片, 2019, 第 5 作者, 专利号: CN110620033A

( 63 ) 一种氧化钒薄膜的制备方法, 2019, 第 1 作者, 专利号: CN110453175A

( 64 ) 一种半导体器件制备方法及制备得到的半导体器件, 2019, 第 3 作者, 专利号: CN110349915A

( 65 ) 一种高光谱图像传感器的单片集成方法, 2019, 第 1 作者, 专利号: CN110190078A

( 66 ) RRAM存储单元的制备方法及RRAM存储单元, 2019, 第 1 作者, 专利号: CN110165051A

( 67 ) 一种红外吸收薄膜结构及制作方法及其电子设备, 2019, 第 3 作者, 专利号: CN110137275A

( 68 ) 一种红外吸收薄膜及其制备方法, 2019, 第 3 作者, 专利号: CN110137308A

( 69 ) 一种阻变存储器及其制造方法, 2019, 第 1 作者, 专利号: CN109728163A

( 70 ) 半导体器件的制造方法, 2019, 第 3 作者, 专利号: CN106328501B

( 71 ) 一种探测器、热敏电阻、氧化钒薄膜及其制造方法, 2018, 第 1 作者, 专利号: CN108807346A

( 72 ) MEMS器件中的拱形结构及其制造方法、MEMS器件, 2017, 第 1 作者, 专利号: CN107140597A

( 73 ) 一种金属薄膜溅射的PVD设备及工艺, 2017, 第 1 作者, 专利号: CN106319460A

( 74 ) 半导体器件的制造方法, 2017, 第 3 作者, 专利号: CN106328501A

( 75 ) 一种铜互连结构及其制造方法, 2016, 第 1 作者, 专利号: CN106158733A

( 76 ) 一种电阻随机存储器及其制备方法, 2016, 第 1 作者, 专利号: CN106033792A

( 77 ) 一种后栅工艺MOS器件的制备方法, 2016, 第 1 作者, 专利号: CN105810588A

( 78 ) 一种电阻随机存储器单元及其制备方法, 2015, 第 1 作者, 专利号: CN201510125646

( 79 ) 一种金属薄膜溅射的PVD工艺, 2015, 第 1 作者, 专利号: CN201510375208

( 80 ) 铜互连的半导体器件的制造方法及结构, 2006, 第 1 作者, 专利号: CN200610030628

出版信息

   
发表论文
(1) Robustly stable intermediate memory states in HfO_(2)-based ferroelectric field-effect transistors, Robustly stable intermediate memory states in HfO2−based ferroelectric field−effect transistors, JOURNAL OF MATERIOMICS, 2022, 第 7 作者
(2) Reversible transition between the polar and antipolar phases and its implications for wake-up and fatigue in HfO2-based ferroelectric thin film, NATURE COMMUNICATIONS, 2022, 第 7 作者
(3) Time-dependent dielectric breakdown (TDDB) for Co0.65Ti0.35 as a single barrier/liner in local Co interconnects, JOURNAL OF MATERIALS SCIENCE-MATERIALS IN ELECTRONICS, 2022, 第 3 作者
(4) Different correlations between spin Hall angle measured by the 2nd harmonic method and by the critical current density due to dimension effect in W/Ta multilayers, JOURNAL OF MAGNETISM AND MAGNETIC MATERIALS, 2022, 第 2 作者
(5) Field-Free Deterministic Writing of Spin-Orbit Torque Magnetic Tunneling Junction by Unipolar Current, Ieee Electron Device Letters, 2022, 第 4 作者
(6) Highly efficient voltage-controlled magnetism in HfZrO/CoFeB hybrid film and Hall device, JAPANESE JOURNAL OF APPLIED PHYSICS, 2022, 第 7 作者
(7) Spin Logic Operated by Unipolar Voltage Inputs, IEEE ELECTRON DEVICE LETTERS, 2022, 第 3 作者
(8) Low-Temperature (≤500 °C) Complementary Schottky Source, NANOMATERIALS, 2022, 第 2 作者
(9) A Polarization-Switching, Charge-Trapping, Modulated Arithmetic Logic Unit for In-Memory Computing Based on Ferroelectric Fin Field-Effect Transistors, ACS APPLIED MATERIALS & INTERFACES, 2022, 第 16 作者
(10) A Refined Ladder Transmission Line Model for the Extraction of Significantly Low Specific Contact Resistivity, IEEE TRANSACTIONS ON ELECTRON DEVICES, 2022, 第 5 作者
(11) Insertion of Hafnium Interlayer to Improve the Thermal Stability of Ultrathin TiSix in TiSix/n(+)-Si Ohmic Contacts, IEEE TRANSACTIONS ON ELECTRON DEVICES, 2022, 第 4 作者
(12) Mechanism Analysis of Ultralow Leakage and Abnormal Instability in InGaZnO Thin-Film Transistor Toward DRAM, IEEE TRANSACTIONS ON ELECTRON DEVICES, 2022, 第 7 作者
(13) Nano-scaled transistor reliability characterization at nano-second regime, Nano-scaled transistor reliability characterization at nano-second regime, SCIENCE CHINA-INFORMATION SCIENCES, 2021, 第 6 作者
(14) Physical Thickness 1.5-nm HfZrO Negative Capacitance NMOSFETs, IEEE TRANSACTIONS ON ELECTRON DEVICES, 2021, 第 5 作者
(15) NiSi/p(+)-Si(n(+)-Si)/n-Si(p-Si) Diodes With Dopant Segregation (DS): p-n or Schottky Junctions?, IEEE TRANSACTIONS ON ELECTRON DEVICES, 2021, 第 5 作者
(16) A Novel Method to Reduce Specific Contact Resistivity of TiSix/n(+)-Si Contacts by Employing an In-Situ Steam Generation Oxidation Prior to Ti Silicidation, IEEE ELECTRON DEVICE LETTERS, 2021, 第 4 作者
(17) Experimental Investigation of As Preamorphization Implant on Electrical Property of Ti-Based Silicide Contacts, IEEE TRANSACTIONS ON ELECTRON DEVICES, 2021, 第 13 作者
(18) Thermal stability issue of ultrathin Ti-based silicide for its application in prospective DRAM peripheral 3D FinFET transistors, JOURNAL OF MATERIALS SCIENCE-MATERIALS IN ELECTRONICS, 2021, 第 3 作者
(19) Efficient and Robust Nonvolatile Computing-In-Memory based on Voltage Division in 2T2R RRAM with Input-Dependent Sensing Control, IEEE Transactions on Circuits and Systems II: Express Briefs, 2021, 第 8 作者
(20) Electric Field Gradient-Controlled Domain Switching for Size Effect-Resistant Multilevel Operations in HfO2-Based Ferroelectric Field-Effect Transistor, ADVANCED FUNCTIONAL MATERIALS, 2021, 第 9 作者
(21) Investigation of Barrier Property of Amorphous Co-Ti Layer as Single Barrier/Liner in Local Co Interconnects, IEEE TRANSACTIONS ON ELECTRON DEVICES, 2020, 第 4 作者
(22) Vertical Sandwich Gate-All-Around Field-Effect Transistors With Self-Aligned High-k Metal Gates and Small Effective-Gate-Length Variation, IEEE ELECTRON DEVICE LETTERS, 2020, 第 20 作者
(23) Investigation of Ultrathin Ni Germanosilicide for Advanced pMOS Contact Metallization, IEEE TRANSACTIONS ON ELECTRON DEVICES, 2020, 第11作者
(24) Influence of interlayer GeOx thickness on band alignment of Al2O3/GeOx/Ge structure, MATERIALS SCIENCE IN SEMICONDUCTOR PROCESSING, 2020, 第 6 作者
(25) Effects of Ni Film Thickness on the Properties of Ni-Based Silicides Formed on Both Highly Doped n- and p-Si Substrate, ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY, 2020, 第 5 作者
(26) Impact of Ge pre-amorphization implantation on Co/Co-Ti/n(+)-Si contacts in advanced Co interconnects, JAPANESE JOURNAL OF APPLIED PHYSICS, 2020, 第 3 作者
(27) Study of Silicon Nitride Inner Spacer Formation in Process of Gate-all-around Nano-Transistors, NANOMATERIALS, 2020, 第 8 作者
(28) A ReRAM-Based Computing-in-Memory Convolutional-Macro With Customized 2T2R Bit-Cell for AIoT Chip IP Applications, IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II-EXPRESS BRIEFS, 2020, 第 8 作者
(29) A Novel Dry Selective Isotropic Atomic Layer Etching of SiGe for Manufacturing Vertical Nanowire Array with Diameter Less than 20 nm, MATERIALS, 2020, 第 8 作者
(30) State of the Art and Future Perspectives in Advanced CMOS Technology, NANOMATERIALS, 2020, 其他(合作组作者)
(31) Miniaturization of CMOS, MICROMACHINES, 2019, 第 10 作者
(32) Hybrid 1T e-DRAM and e-NVM Realized in One 10 nm node Ferro FinFET device with Charge Trapping and Domain Switching Effects, 2018 IEEE INTERNATIONAL ELECTRON DEVICES MEETING (IEDM), 2018, 第 15 作者
(33) First observation of Pt3Si phase at Ni(0.86)Ptod(4) and Si Silicide Reactions, 2018 14TH IEEE INTERNATIONAL CONFERENCE ON SOLID-STATE AND INTEGRATED CIRCUIT TECHNOLOGY (ICSICT), 2018, 第 7 作者
(34) Comparative Investigation of Flat-Band Voltage Modulation by Nitrogen Plasma Treatment for Advanced HKMG Technology, ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY, 2018, 第 4 作者
(35) Investigation of Thermal Atomic Layer Deposited TaAlC with Low Effective Work-Function on HfO2 Dielectric Using TaCl5 and TEA as Precursors, ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY, 2017, 第 4 作者
(36) 8-layers 3D Vertical RRAM with Excellent Scalability towards Storage Class Memory Applications, 2017IEEEINTERNATIONALELECTRONDEVICESMEETINGIEDM, 2017, 第 8 作者
(37) A Novel Nanofabrication Technique of Silicon-Based Nanostructures, NANOSCALE RESEARCH LETTERS, 2016, 第 3 作者
(38) FOI FinFET with Ultra-low Parasitic Resistance Enabled by Fully Metallic Source and Drain Formation on Isolated Bulk-Fin, 2016 IEEE International Electron Devices Meeting: IEDM 2016, San Francisco, California, USA, 3-7 December 2016, pages 452-929, v.2, 2016, 其他(合作组作者)
(39) Super non-linear RRAM with ultra-low power for 3D vertical nano-crossbar arrays, NANOSCALE, 2016, 第11作者
(40) Demonstration of 3D Vertical RRAM with Ultra Low-leakage, High-selectivity and Self-compliance Memory Cells, Tech. Dig.-Int. Electron Devices Meet (IEDM), 2015, 第 7 作者
(41) 超薄Ni0.86Pt0.14金属硅化物薄膜特性, 半导体技术, 2014, 第 2 作者
(42) Evaluation of TaN as the Wet Etch Stop Layer during the 22nm HKMG Gate Last CMOS Integrations, SEMICONDUCTOR CLEANING SCIENCE AND TECHNOLOGY 13 (SCST 13), 2013, 第 3 作者

科研活动

   
科研项目
( 1 ) 三维垂直结构相变存储器关键技术研究, 负责人, 地方任务, 2022-10--2027-09
( 2 ) VCT与MRAM先导工艺模块研究, 负责人, 地方任务, 2023-01--2025-12