基本信息
董业民  男  博导  中国科学院上海微系统与信息技术研究所
电子邮件: ymdong@mail.sim.ac.cn
通信地址: 上海长宁路865号
邮政编码: 200050

招生信息

   
招生专业
080903-微电子学与固体电子学
招生方向
高可靠、高性能器件与电路
极端微电子

教育背景

2001-03--2004-08   中国科学院上海微系统与信息技术研究所   工学博士
1998-09--2001-06   苏州大学物理学院   理学硕士
1994-09--1998-06   苏州大学物理学院   理学学士

工作经历

   
工作简历
2016-03~现在, 中国科学院上海微系统与信息技术研究所, 研究员
2007-02~2016-02,格罗方德(新加坡)私人有限公司, 研发部技术经理
2004-01~2007-01,上海华虹宏力半导体制造有限公司, 研发部主任工程师
2001-03~2004-08,中国科学院上海微系统与信息技术研究所, 工学博士
1998-09~2001-06,苏州大学物理学院, 理学硕士
1994-09~1998-06,苏州大学物理学院, 理学学士

专利与奖励

   
奖励信息
(1) 中科院****B类终期评估优秀, 院级, 2020
(2) 市科技系统优秀党员, 市地级, 2019
(3) 所级优秀共产党员, 研究所(学校), 2018
(4) 所级优秀共产党员, 研究所(学校), 2017
(5) 所级优秀标兵, 研究所(学校), 2017
专利成果
( 1 ) 一种热辅助型磁性器件、存算一体阵列以及运算方法, 2022, 第 2 作者, 专利号: CN202211554183.2

( 2 ) 一种多阻型磁性器件及其制备方法和应用, 2022, 第 2 作者, 专利号: CN202210604281.6

( 3 ) 一种聚焦离子束切割制样方法, 2022, 第 2 作者, 专利号: CN111220819B

( 4 ) 一种精确定位鳍式场效应晶体管的原子探针针尖样品制备方法, 2022, 第 2 作者, 专利号: CN111220820B

( 5 ) 一种用于精确定位制备鳍式场效应晶体管针尖样品的制备方法, 2022, 第 2 作者, 专利号: CN111208319B

( 6 ) 基于FIB设备定制AFM探针的方法及原子力显微镜, 2022, 第 1 作者, 专利号: CN113917190A

( 7 ) 一种可用于超低温的低压差分放大器, 2021, 第 4 作者, 专利号: CN113839630A

( 8 ) 低温磁性超导混合存储单元及存储器, 2021, 第 2 作者, 专利号: CN113764459A

( 9 ) 一种具有双埋氧层的晶体管结构及其制备方法, 2021, 第 2 作者, 专利号: CN110890418B

( 10 ) 阈值电压的调节方法、装置、CMOS器件、电子设备及存储介质, 2021, 第 2 作者, 专利号: CN111240392B

( 11 ) FPGA辐射测试模块、ASIC芯片抗辐射性能评估系统及方法, 2021, 第 3 作者, 专利号: CN113125943A

( 12 ) 一种隧穿场效应晶体管及其制备方法, 2021, 第 2 作者, 专利号: CN110854192B

( 13 ) 一种柔性器件及其制备方法, 2021, 第 2 作者, 专利号: CN112382666A

( 14 ) 一种用于高压容限电路的静电保护结构, 2020, 第 2 作者, 专利号: CN111933639A

( 15 ) 基于晶闸管的静电保护单元及其并联结构, 2020, 第 2 作者, 专利号: CN111739887A

( 16 ) 一种浮空的柔性器件及其制备方法, 2020, 第 2 作者, 专利号: CN111739933A

( 17 ) 一种柔性电子器件及其制备方法, 2020, 第 2 作者, 专利号: CN111725149A

( 18 ) PMOS触发的SCR器件、SCR器件的制造方法及SCR静电保护电路, 2020, 第 3 作者, 专利号: CN111725206A

( 19 ) 一种对角线型双向SCR结构的ESD保护器件, 2020, 第 2 作者, 专利号: CN111725205A

( 20 ) 一种具有双向SCR结构的ESD保护器件, 2020, 第 2 作者, 专利号: CN111725204A

( 21 ) 一种静电保护结构及静电保护电路, 2020, 第 2 作者, 专利号: CN111403381A

( 22 ) 一种基于SOI工艺的晶闸管器件及静电保护电路, 2020, 第 2 作者, 专利号: CN111403470A

( 23 ) 一种基于SOI工艺的静电放电保护结构, 2020, 第 2 作者, 专利号: CN111403379A

( 24 ) 一种电子器件表面处理方法, 2020, 第 2 作者, 专利号: CN111261515A

( 25 ) 基于静态随机储存单元阵列的单粒子翻转检测电路及方法, 2020, 第 3 作者, 专利号: CN111091855A

( 26 ) 半导体电路与超导电路单片集成的复合芯片及其制作方法, 2020, 第 2 作者, 专利号: CN110783310A

( 27 ) 场效应晶体管的制造方法, 2019, 第 1 作者, 专利号: CN110556298A

( 28 ) 基于交替偏置的高可靠接口电路及方法, 2019, 第 4 作者, 专利号: CN110554981A

( 29 ) 逐步逼近型模数转换装置的电容阵列校准方法和装置, 2019, 第 3 作者, 专利号: CN110535467A

( 30 ) 存储器及加固待存储数据的方法, 2019, 第 3 作者, 专利号: CN110489267A

( 31 ) 异步时钟ADC电路的亚稳态的检测消除电路, 2019, 第 2 作者, 专利号: CN110401444A

( 32 ) 同步时钟ADC电路的亚稳态的检测消除电路, 2019, 第 2 作者, 专利号: CN110401443A

( 33 ) 一种驱动电路、驱动方法及微反射镜阵列, 2019, 第 2 作者, 专利号: CN110347082A

( 34 ) 改进的汉明码纠错方法, 2019, 第 3 作者, 专利号: CN110233629A

( 35 ) 一种柔性SOI器件结构及其制备方法, 2019, 第 2 作者, 专利号: CN110223981A

( 36 ) 一种用于Nanoprobe-FIB-TEM失效分析的多用途样品座及其应用, 2019, 第 2 作者, 专利号: CN110133019A

( 37 ) 一种超薄TEM样品的原位制备方法以及由此得到的超薄TEM薄膜, 2019, 第 2 作者, 专利号: CN110133020A

( 38 ) 一种集成结构的制备方法以及由此得到的铜互连线与介质层集成结构, 2019, 第 2 作者, 专利号: CN110112095A

( 39 ) 一种超低温下SRAM时序电路的温度自适应补偿电路, 2019, 第 2 作者, 专利号: CN109559773A

( 40 ) 一种CMOS电路与超导SFQ电路的单片集成方法, 2019, 第 2 作者, 专利号: CN109390283A

( 41 ) 一种三模冗余电路结构, 2018, 第 3 作者, 专利号: CN108631772A

( 42 ) 一种IGBT短路过流检测电路, 2018, 第 4 作者, 专利号: CN108508342A

( 43 ) 一种锁相环电路单粒子敏感性的量化评估方法, 2018, 第 2 作者, 专利号: CN108494400A

( 44 ) 一种用于锁相环的锁定检测电路, 2018, 第 2 作者, 专利号: CN108471309A

( 45 ) 一种基于SOI工艺的压控振荡器电路, 2018, 第 2 作者, 专利号: CN108462471A

( 46 ) 一种ESD保护结构, 2018, 第 2 作者, 专利号: CN108122904A

( 47 ) 一种基于SOI工艺的NMOS器件及其构成的静电保护电路, 2018, 第 2 作者, 专利号: CN108063134A

( 48 ) 一种基于SOI工艺的静电保护器件及其构成的静电保护电路, 2018, 第 2 作者, 专利号: CN108063133A

( 49 ) 用于IGBT栅极驱动芯片的LDMOS电平移位dv/dt噪声抑制电路, 2018, 第 3 作者, 专利号: CN107947774A

( 50 ) 一种基于SOI工艺的D触发器电路, 2017, 第 2 作者, 专利号: CN107508578A

( 51 ) 一种隧穿场效应晶体管及其制备方法, 2012, 第 2 作者, 专利号: CN102364690A

( 52 ) 沟道有热、电通道的绝缘层上硅金属-氧化物-半导体场效应晶体管制造工艺, 2006, 第 5 作者, 专利号: CN1279593C

( 53 ) 采用侧墙技术制备有纳米硅通道的埋氧的方法, 2006, 第 1 作者, 专利号: CN1261974C

( 54 ) 一种制造源漏在自对准绝缘体上的纳米晶体管器件的方法, 2006, 第 1 作者, 专利号: CN1261988C

( 55 ) 剂量-能量优化注氧隔离技术制备图形化绝缘体上的硅材料, 2005, 第 1 作者, 专利号: CN1206725C

( 56 ) 注氧隔离技术制备全介质隔离的硅量子线的方法, 2005, 第 1 作者, 专利号: CN1199249C

( 57 ) 一种形成半导体衬底的方法, 2005, 第 1 作者, 专利号: CN1193421C

( 58 ) 双埋层结构的绝缘体上的硅材料、制备及用途, 2005, 第 4 作者, 专利号: CN1564323A

( 59 ) 局部绝缘体上的硅制作功率器件的结构及实现方法, 2005, 第 3 作者, 专利号: CN1560925A

( 60 ) 晶体管控制纳米管场发射显示阵列及其实现方法, 2004, 第 3 作者, 专利号: CN1547236

( 61 ) 沟道有热、电通道的SOI MOSFET器件制造工艺, 2003, 第 5 作者, 专利号: CN1457088A

( 62 ) 准绝缘体上的硅场效应晶体管及实现方法, 2003, 第 1 作者, 专利号: CN1431719A

( 63 ) 降低绝缘体上的硅晶体管源漏串联电阻的结构及实现方法, 2003, 第 1 作者, 专利号: CN1431717A

( 64 ) 一种同时形成图形化埋氧和器件浅沟槽隔离的方法, 2003, 第 1 作者, 专利号: CN1431701A

( 65 ) 选择外延法制造源漏在绝缘体上的场效应晶体管, 2003, 第 1 作者, 专利号: CN1431690A

出版信息

   
发表论文
(1) A Novel Fully Digital Feedforward Background Calibration Technique for Timing Mismatch in M-Channel Time-Interleaved ADCs, ELECTRONICS, 2023, 通讯作者
(2) A Digital Timing-Mismatch Calibration Technique for Time-Interleaved ADCs Based on a Coordinate Rotational Digital Computer Algorithm, ELECTRONICS, 2023, 通讯作者
(3) Analysis of Abnormal GIDL Current Degradation Under Hot Carrier Stress in DSOI-MOSFETs, IEEE TRANSACTIONS ON ELECTRON DEVICES, 2022, 第 9 作者
(4) Optimal Spin Polarization for Spin–Orbit-Torque Memory and Oscillator, IEEE TRANSACTIONS ON ELECTRON DEVICES, 2022, 第 5 作者
(5) Fast convergent background calibration technique for timing mismatch in M-channel time-interleaved ADCs, AEU-INTERNATIONAL JOURNAL OF ELECTRONICS AND COMMUNICATIONS, 2022, 通讯作者
(6) Multi-functional multi-gate one-transistor process-in-memory electronics with foundry processing and footprint reduction, COMMUNICATIONSMATERIALS, 2022, 通讯作者
(7) Integration of Pd nanoparticles with engineered pore walls in MOFs for enhanced catalysis, CHEM, 2021, 第 7 作者
(8) Germanium Nanosheets with Dirac Characteristics as a Saturable Absorber for Ultrafast Pulse Generation, ADVANCED MATERIALS, 2021, 第 10 作者
(9) An Overview of Spintronic True Random Number Generator, FRONTIERS IN PHYSICS, 2021, 第 5 作者
(10) 基于FPGA的ASIC芯片抗辐射性能评估系统, ASIC Chip Anti-Irradiation Performance Evaluation System Based on FPGA, 半导体技术, 2021, 第 4 作者
(11) Two-dimensional BCN matrix inlaid with single-atom-Cu driven electrochemical nitrate reduction reaction to achieve sustainable industrial-grade production of ammonia, APPLIED MATERIALS TODAY, 2021, 第 8 作者
(12) Cryogenic Characteristics of Multinanoscales Field-Effect Transistors, IEEE TRANSACTIONS ON ELECTRON DEVICES, 2021, 通讯作者
(13) Simultaneous anchoring of Ni nanoparticles and single-atom Ni on BCN matrix promotes efficient conversion of nitrate in water into high-value-added ammonia, Chemical Engineering Journal, 2021, 第 9 作者
(14) Soft-templated mesoporous carbon-modified glassy carbon electrode for sensitive and selective detection of aristolochic acids, JOURNAL OF HAZARDOUS MATERIALS, 2020, 第 7 作者
(15) A 16-bit 8-MS/s SAR ADC with a foreground calibration and hybrid-charge-supply power structure, IEICE ELECTRONICS EXPRESS, 2020, 通讯作者
(16) Self-templated nitrogen-doped mesoporous carbon decorated with double transition-metal active sites for enhanced oxygen electrode catalysis, Self-templated nitrogen-doped mesoporous carbon decorated with double transition-metal active sites for enhanced oxygen electrode catalysis, RARE METALS, 2020, 第 7 作者
(17) 130 nm加固SOI工艺的抗辐射控制芯片设计, Design of radiation-tolerant controller chip in 130 nm hardened SOI process, 国防科技大学学报, 2020, 第 5 作者
(18) Effects of boron doping on non-linear properties of SOI with embedded polycrystalline silicon layer for RF applications, SOLID-STATE ELECTRONICS, 2020, 第 6 作者
(19) An Enhanced Well-Changed GGNMOS for 3.3-V ESD Protection in 0.13-mu m SOI Process, IEICE TRANSACTIONS ON ELECTRONICS, 2020, 通讯作者
(20) Site-Specific Sample Preparation and Analysis of FinFET structure in 14nm Technology Node Chip via Atom Probe Tomography, 2020 IEEE INTERNATIONAL SYMPOSIUM ON THE PHYSICAL AND FAILURE ANALYSIS OF INTEGRATED CIRCUITS (IPFA), 2020, 第 8 作者
(21) Anisotropic polaritons in van der Waals materials, Anisotropic polaritons in van der Waals materials, INFOMAT, 2020, 第 4 作者
(22) A 400MHz Single-Chip CMOS Transceiver for Long Range High Definition Video Transmission in UAV Application, A 400MHz Single-Chip CMOS Transceiver for Long Range High Definition Video Transmission in UAV Application, CHINESE JOURNAL OF ELECTRONICS, 2020, 第 5 作者
(23) Catalyst-Free Growth of Two-Dimensional BCxN Materials on Dielectrics by Temperature-Dependent Plasma-Enhanced Chemical Vapor Deposition, ACS APPLIED MATERIALS & INTERFACES, 2020, 第 7 作者
(24) A 16 bit 200 kS/s successive approximation register ADC with foreground on-chip self-calibration, IEICE ELECTRONICS EXPRESS, 2020, 通讯作者
(25) 双模冗余汉明码的设计与验证, Design and verification of dual modular redundancy hamming code, 哈尔滨工业大学学报, 2020, 第 5 作者
(26) Design of a High-Performance Low-Cost Radiation-Hardened Phase-Locked Loop for Space Application, IEEE TRANSACTIONS ON AEROSPACE AND ELECTRONIC SYSTEMS, 2020, 第 3 作者
(27) Capillary-bridge mediated assembly of aligned perovskite quantum dots for high-performance photodetectors, JOURNAL OF MATERIALS CHEMISTRY C, 2019, 第 10 作者
(28) Compact Model for Tunnel Diode Body Contact SOI n-MOSFETs, IEEE TRANSACTIONS ON ELECTRON DEVICES, 2019, 第 7 作者
(29) Comparative Investigation on Bias Dependent RF Performance of SOI Substrates, ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY, 2019, 第 5 作者
(30) Flexible photodetectors based on reticulated SWNT/perovskite quantum dot heterostructures with ultrahigh durability, NANOSCALE, 2019, 第 8 作者
(31) One-Transistor Memory Compatible with Si-Based Technology with Multilevel Applications, ADVANCED ELECTRONIC MATERIALS, 2019, 通讯作者
(32) Multi-core yolk-shell like mesoporous double carbon-coated silicon nanoparticles as anode materials for lithium-ion batteries, ENERGY STORAGE MATERIALS, 2019, 第 8 作者
(33) Glassy Carbon Electrode Modified via Molybdenum Disulfide Decorated Multiwalled Carbon Nanotubes for Sensitive Voltammetric Detection of Aristolochic Acids, ELECTROANALYSIS, 2019, 第 6 作者
(34) Double-shelled yolk-shell Si@C microspheres based electrochemical sensor for determination of cadmium and lead ions, ANALYTICA CHIMICA ACTA, 2019, 第 8 作者
(35) Flexible, Printable Soft-X-Ray Detectors Based on All-Inorganic Perovskite Quantum Dots, ADVANCED MATERIALS, 2019, 第 14 作者
(36) Mn-doped Co3O4 nanoarrays as a promising electrocatalyst for oxygen evolution reaction, MATERIALS RESEARCH EXPRESS, 2019, 第 3 作者
(37) Synthesis of Millimeter-Scale Continuous WS2 Film by Mitigating Poisoning of H-2 on WO2.9 Precursor, PHYSICA STATUS SOLIDI-RAPID RESEARCH LETTERS, 2019, 第 4 作者
(38) Effects of Low Boron Concentration on Electrical Properties of Commercial Trap-Rich High Resistivity SOI Substrate, ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY, 2018, 第 5 作者
(39) Body Effects on the Tuning RF Performance of PD SOI Technology Using Four-Port Network, IEEE ELECTRON DEVICE LETTERS, 2018, 通讯作者
(40) Study of Total-Ionizing-Dose Effects on a Single-Event-Hardened Phase-Locked Loop, IEEE TRANSACTIONS ON NUCLEAR SCIENCE, 2018, 第 3 作者
(41) Resistivity and Radio-Frequency Properties of Two-Generation Trap-Rich Silicon-on-Insulator Substrates, Resistivity and Radio-Frequency Properties of Two-Generation Trap-Rich Silicon-on-Insulator Substrates, CHINESE PHYSICS LETTERS, 2018, 第 5 作者
(42) Fabrication and Characterization of Radiation Hardened SOI Wafers via Ion-Cut Technique, ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY, 2018, 第 6 作者
(43) 0.13μm标准CMOS工艺的高可靠流水线模数转换器, Highly reliable pipeline analog-to-digital converter in 0.13μm standard CMOS process, 国防科技大学学报, 2018, 第 3 作者
(44) Investigation of radiation hardened SOI wafer fabricated by ion-cut technique, NUCLEAR INST. AND METHODS IN PHYSICS RESEARCH, B, 2018, 第 6 作者
(45) 基于130 nm PD-SOI工艺存储单元电路的抗辐射加固设计, Radiation Hardened Design for Storage Cell Circuit Based on 130 nm PD-SOI Process, 半导体技术, 2018, 第 5 作者
(46) A 12 bit 120 MS/s SHA-less pipeline ADC with capacitor mismatch error calibration, IEICE ELECTRONICS EXPRESS, 2018, 第 5 作者
(47) Synthesis of an iron-nitrogen co-doped ordered mesoporous carbon-silicon nanocomposite as an enhanced electrochemical sensor for sensitive and selective determination of chloramphenicol, COLLOIDS AND SURFACES B-BIOINTERFACES, 2018, 第 6 作者
(48) An Electrochemical Sensor Based on Green gamma-AlOOH-Carbonated Bacterial Cellulose Hybrids for Simultaneous Determination Trace Levels of Cd(II) and Pb(II) in Drinking Water, JOURNAL OF THE ELECTROCHEMICAL SOCIETY, 2018, 通讯作者
(49) Radio-Frequency Characteristics of Partial Dielectric Removal HR-SOI and TR-SOI Substrates, Radio-Frequency Characteristics of Partial Dielectric Removal HR-SOI and TR-SOI Substrates, CHINESE PHYSICS LETTERS, 2017, 第 4 作者
(50) 基于0.13 μm CMOS的WiFi功率放大器设计, Design of the WiFi Power Amplifier in 0.13 μm CMOS, 半导体技术, 2017, 第 4 作者
(51) SOI标准单元库抗总剂量辐射验证方法研究, 半导体技术, 2017, 第 1 作者
(52) Fabrication of radiation hardened SOI with embedded Si nanocrystal by ion-cut technique, JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B, 2017, 第 6 作者
(53) Substrate current characterization and optimization of high voltage LDMOS transistors, SOLID-STATE ELECTRONICS, 2008, 第 3 作者
(54) A comprehensive study of reducing the STI mechanical stress effect on channel-width-dependent I-dsat, SEMICONDUCTOR SCIENCE AND TECHNOLOGY, 2007, 第 4 作者
(55) Patterned SIMOX technique for deep sub-micron DSOI devices, PAN TAO TI HSUEH PAO/CHINESE JOURNAL OF SEMICONDUCTORS, 2005, 第 2 作者
(56) The formation of thick buried oxide layers using ion implantation from water plasma, THIN SOLID FILMS, 2005, 
(57) Patterned silicon-on-insulator technology for RF Power LDMOSFET, MICROELECTRONIC ENGINEERING, 2005, 
(58) Optimized implant dose and energy to fabricate high-quality patterned SIMOX SOI materials, SOLID STATE COMMUNICATIONS, 2004, 
(59) Patterned buried oxide layers under a single MOSFET to improve the device performance, SEMICONDUCTOR SCIENCE AND TECHNOLOGY, 2004, 
(60) Synthesis and thermal conductivity measurement of high-integrity ultrathin oxygen-implanted buried oxide layers, JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS SHORT NOTES & REVIEW PAPERS, 2004, 
(61) Comparative study of SOI/Si hybrid substrates fabricated using high-dose and low-dose oxygen implantation, JOURNAL OF PHYSICS D-APPLIED PHYSICS, 2004, 
(62) 具有复合埋层的新型SIMON材料的制备, 半导体学报, 2004, 第 6 作者
(63) Comparison of fabrication techniques of SIMON materials with buried multi-layers, PAN TAO TI HSUEH PAO/CHINESE JOURNAL OF SEMICONDUCTORS, 2004, 第 6 作者
(64) 采用局域注氧技术制备的新型DSOI场效应晶体管的热特性, 半导体学报, 2003, 第 2 作者
(65) Formation of ultra-thin silicon-on-insulator materials by low-dose low-energy oxygen ion implantation, CHEMICAL PHYSICS LETTERS, 2003, 
(66) 采用局域注氧技术制备的新型DSOI场效应晶体管的热特性(英文), 半导体学报, 2003, 第 5 作者
(67) Low defect density and planar patterned SOI materials by masked SIMOX, CHEMICAL PHYSICS LETTERS, 2003, 
(68) Investigation of thermal property of novel DSOI MOSFETs fabricated with local SIMOX technique, PAN TAO TI HSUEH PAO/CHINESE JOURNAL OF SEMICONDUCTORS, 2003, 第 5 作者
(69) DSOI,SOI和体硅MOSFET的特性测量比较, 清华大学学报:自然科学版, 2003, 第 2 作者
(70) Formation of silicon islands free buried oxide layer by energy optimization at very low dose ion implantation, SURFACE & COATINGS TECHNOLOGY, 2002, 
(71) 低能低剂量注水形成SOI结构材料的研究, 功能材料与器件学报, 2002, 第 3 作者
(72) 硅基氧化物薄膜的结构及光吸收特性的研究, 功能材料, 2002, 第 2 作者
(73) Room-temperature visible electroluminescence of Al-doped silicon oxide films, APPLIED PHYSICS LETTERS, 2001, 
(74) Photoluminescence from Ge-Si02 thin films and its mechanism, Chinese Science Bulletin, 2001, 第 1 作者
(75) 铝掺杂对硅基薄膜电致发光的影响, 科学通报, 2001, 第 5 作者
(76) Ge-SiO_2薄膜的发光研究, 第四届中国功能材料及其应用学术会议论文集, 2001, 第 3 作者

科研活动

   
科研项目
( 1 ) 高可靠SOI芯片化系统集成技术, 负责人, 中国科学院计划, 2016-07--2019-06
( 2 ) 130nm高可靠SOI工艺与设计平台, 负责人, 国家任务, 2014-10--2018-11
( 3 ) 低温存储器研发, 负责人, 中国科学院计划, 2018-02--2023-12
( 4 ) BR计划B类, 负责人, 中国科学院计划, 2017-07--2019-12