基本信息
许静  女  硕导  中国科学院微电子研究所
电子邮件: xujing@ime.ac.cn
通信地址: 北京市朝阳区北土城西路3号
邮政编码:

招生信息

   
招生专业
080903-微电子学与固体电子学
080901-物理电子学
085400-电子信息
招生方向
硅基CMOS器件及工艺研究

教育背景

2006-09--2011-07   中国科学院电子学研究所   工学博士学位
2002-09--2006-07   西南交通大学   工学学士学位

工作经历

   
工作简历
2011-07~现在, 中国科学院微电子研究所, 副研究员、助理研究员
2006-09~2011-07,中国科学院电子学研究所, 工学博士学位
2002-09~2006-07,西南交通大学, 工学学士学位

教授课程

半导体工艺与制造技术二班
半导体工艺与制造技术
半导体工艺与制造技术一班

专利与奖励

   
专利成果
( 1 ) 存算一体单元及逻辑功能可重构的存算一体电路, 2022, 第 4 作者, 专利号: CN114244348A

( 2 ) 一种半导体器件及其制造方法, 2022, 第 5 作者, 专利号: CN113921522B

( 3 ) 自旋霍尔器件、霍尔电压的获取方法及最大池化的方法, 2022, 第 4 作者, 专利号: CN114184833A

( 4 ) 一种半导体器件及其制造方法, 2022, 第 4 作者, 专利号: CN114093821A

( 5 ) 一种存储器件及其制造方法, 2021, 第 4 作者, 专利号: CN111459864B

( 6 ) 一种自旋轨道转矩磁阻式随机存储器及其制造方法, 2021, 第 4 作者, 专利号: CN113707803A

( 7 ) 一种存储器件, 2021, 第 4 作者, 专利号: CN110061002B

( 8 ) 键合半导体器件及其制备方法, 2021, 第 2 作者, 专利号: CN113380648A

( 9 ) 一种铜互连结构及其制备方法, 2021, 第 2 作者, 专利号: CN113380763A

( 10 ) 芯片单元、芯片组件和芯片单元的制作方法, 2021, 第 2 作者, 专利号: CN113380743A

( 11 ) 空气隙制作方法、空气隙和电子设备, 2021, 第 2 作者, 专利号: CN113380698A

( 12 ) 半导体器件及其制备方法, 2021, 第 2 作者, 专利号: CN113380761A

( 13 ) 一种键合结构、多晶圆三维集成结构及其制备方法, 2021, 第 2 作者, 专利号: CN113380742A

( 14 ) 半导体器件及其制备方法, 2021, 第 2 作者, 专利号: CN113380699A

( 15 ) 存储单元及其数据写入和读取方法、存储器、电子设备, 2021, 第 4 作者, 专利号: CN113328034A

( 16 ) 基于底电极垂直向电压控制的SOT-MRAM及制造、写入方法, 2021, 第 4 作者, 专利号: CN113224232A

( 17 ) 基于底电极平行向电压控制的SOT-MRAM及制造方法, 2021, 第 4 作者, 专利号: CN113178518A

( 18 ) 碳化硅欧姆接触结构的形成方法及MOS晶体管的制备方法, 2021, 第 2 作者, 专利号: CN113178414A

( 19 ) 一种半导体器件的制造方法, 2021, 第 1 作者, 专利号: CN113130489A

( 20 ) 一种半导体器件及其制造方法, 2021, 第 6 作者, 专利号: CN113078210A

( 21 ) 一种MTJ及其驱动方法和制作方法, 2021, 第 4 作者, 专利号: CN112928206A

( 22 ) 一种基于MTJ的真随机数发生器, 2021, 第 4 作者, 专利号: CN112835556A

( 23 ) MOS晶体管及利用离子注入提高源漏掺杂浓度的方法, 2021, 第 3 作者, 专利号: CN112652663A

( 24 ) 金属互连结构、半导体器件及提高扩散阻挡层性能的方法, 2021, 第 3 作者, 专利号: CN112652607A

( 25 ) 一种磁性隧道结及其制造方法、存储单元, 2021, 第 4 作者, 专利号: CN112563411A

( 26 ) 一种存储器件, 2020, 第 4 作者, 专利号: CN112054033A

( 27 ) 一种磁性随机存储器及其制造方法, 2020, 第 5 作者, 专利号: CN111952438A

( 28 ) Ge基NMOS晶体管及其制作方法, 2020, 第 3 作者, 专利号: CN111463133A

( 29 ) 一种半导体器件及其制造方法, 2020, 第 6 作者, 专利号: CN108122750B

( 30 ) 一种肖特基势垒晶体管及其制备方法, 2020, 第 3 作者, 专利号: CN111129126A

( 31 ) 一种刻蚀方法, 2020, 第 5 作者, 专利号: CN111063798A

( 32 ) 一种半导体器件的制造方法, 2020, 第 3 作者, 专利号: CN106952922B

( 33 ) 一种半导体器件及其制造方法, 2020, 第 5 作者, 专利号: CN108242426B

( 34 ) 一种MOS器件、制造方法、集成电路及电子设备, 2020, 第 3 作者, 专利号: CN110931361A

( 35 ) 半导体器件与其制作方法, 2019, 第 3 作者, 专利号: CN109346409A

( 36 ) 一种Ge基CMOS晶体管制备方法, 2019, 第 3 作者, 专利号: CN110634868A

( 37 ) 一种CMOS晶体管、CMOS晶体管的制备方法及电子设备, 2019, 第 3 作者, 专利号: CN110634866A

( 38 ) 一种PMOS晶体管、PMOS晶体管的制备方法及电子设备, 2019, 第 3 作者, 专利号: CN110581175A

( 39 ) STT-MRAM参考单元及其制备方法及包含该参考单元的芯片, 2019, 第 4 作者, 专利号: CN110277490A

( 40 ) 基于磁隧道结的存储器及其读写方法、制作方法, 2019, 第 4 作者, 专利号: CN110277115A

( 41 ) 磁性单粒子探测装置及其制造方法、磁性单粒子探测方法, 2019, 第 4 作者, 专利号: CN110161113A

( 42 ) 隧穿磁电阻传感器的调控方法及系统, 2019, 第 4 作者, 专利号: CN110109039A

( 43 ) 信号检测装置以及系统, 2019, 第 4 作者, 专利号: CN110058314A

( 44 ) 一种磁隧道结的形成方法及磁阻式随机存储器, 2019, 第 4 作者, 专利号: CN110061128A

( 45 ) 磁隧道结的形成方法及磁阻式随机存储器, 2019, 第 4 作者, 专利号: CN110061127A

( 46 ) 一种半导体器件及其制造方法, 2019, 第 1 作者, 专利号: CN109962022A

( 47 ) 芯片的切割方法, 2019, 第 3 作者, 专利号: CN109920759A

( 48 ) 一种多态存储器及其制造方法, 2019, 第 4 作者, 专利号: CN109904309A

( 49 ) 一种B掺杂的NiSi/n-Si光电阳极及其制备方法和应用, 2019, 第 5 作者, 专利号: CN109904251A

( 50 ) 金属栅功函数的调节方法及MOSFET的制备方法, 2019, 第 3 作者, 专利号: CN109904233A

( 51 ) 一种半导体器件单元以及图像识别装置, 2019, 第 3 作者, 专利号: CN109768065A

( 52 ) 一种自旋轨道矩磁阻式随机存储器及其制造方法, 2019, 第 4 作者, 专利号: CN109742229A

( 53 ) 一种磁阻式随机存储器及其制造方法, 2019, 第 4 作者, 专利号: CN109713118A

( 54 ) 半导体器件与其制作方法, 2019, 专利号: CN109686658A

( 55 ) CMOS器件及其制备方法, 2019, 第 3 作者, 专利号: CN109671621A

( 56 ) 肖特基势垒晶体管及其制备方法, 2019, 第 3 作者, 专利号: CN109671780A

( 57 ) 一种自旋轨道转矩磁阻式随机存储器及其制造方法, 2019, 第 5 作者, 专利号: CN109449285A

( 58 ) 一种半导体器件及其制造方法, 2019, 第 5 作者, 专利号: CN105931965B

( 59 ) 半导体器件与其制作方法, 2018, 专利号: CN108807278A

( 60 ) CMOS器件及其制备方法, 2018, 专利号: CN108428667A

( 61 ) 一种半导体器件的制造方法, 2018, 第 1 作者, 专利号: CN108198782A

( 62 ) 半导体器件及其制造方法, 2018, 第 1 作者, 专利号: CN108172580A

( 63 ) 半导体器件及其制造方法, 2018, 第 1 作者, 专利号: CN105304628B

( 64 ) 半导体器件及其制造方法, 2018, 第 1 作者, 专利号: CN105304628B

( 65 ) 一种半导体器件及其制造方法, 2018, 第 5 作者, 专利号: CN107919387A

( 66 ) 半导体器件及其制造方法, 2017, 第 1 作者, 专利号: CN107123650A

( 67 ) 半导体器件及其制造方法, 2017, 第 2 作者, 专利号: CN106972048A

( 68 ) 半导体器件及其制造方法, 2017, 第 2 作者, 专利号: CN106920751A

( 69 ) 一种半导体器件的制造方法, 2017, 第 1 作者, 专利号: CN106847682A

( 70 ) 一种基于体硅的SOI FinFET的制作方法, 2017, 第 1 作者, 专利号: CN106653608A

( 71 ) 一种半导体器件及其制造方法, 2017, 第 1 作者, 专利号: CN106549014A

( 72 ) 一种半导体器件的制造方法, 2017, 第 5 作者, 专利号: CN106298476A

( 73 ) 一种半导体器件及其制造方法, 2016, 第 5 作者, 专利号: CN106206579A

( 74 ) 一种半导体器件及其制造方法, 2016, 第 5 作者, 专利号: CN105990213A

( 75 ) 鳍式场效应晶体管、鳍及其制造方法, 2016, 第 5 作者, 专利号: CN105679672A

( 76 ) 一种半导体器件及其制造方法, 2016, 第 4 作者, 专利号: CN105632917A

( 77 ) 一种刻蚀方法, 2016, 第 6 作者, 专利号: CN105609415A

( 78 ) 半导体衬底、器件及其制造方法, 2016, 第 1 作者, 专利号: CN105576027A

( 79 ) 半导体衬底、器件及其制造方法, 2016, 第 1 作者, 专利号: CN105576027A

( 80 ) 一种半导体器件及其制造方法, 2016, 第 5 作者, 专利号: CN105489647A

( 81 ) 一种半导体器件及其制造方法, 2016, 第 6 作者, 专利号: CN105489477A

( 82 ) 一种半导体器件及其制造方法, 2016, 第 6 作者, 专利号: CN105489491A

( 83 ) 一种半导体器件及其制造方法, 2016, 第 5 作者, 专利号: CN105428330A

( 84 ) 一种半导体器件及其制造方法, 2016, 第 5 作者, 专利号: CN105428303A

( 85 ) 半导体器件的制造方法, 2016, 第 2 作者, 专利号: CN105322010A

( 86 ) 半导体器件及其制造方法, 2016, 第 2 作者, 专利号: CN105304629A

( 87 ) 半导体器件及其制造方法, 2016, 第 1 作者, 专利号: CN105304628A

( 88 ) 半导体器件及其制造方法, 2016, 第 2 作者, 专利号: CN105280697A

( 89 ) 半导体器件及其制造方法, 2016, 第 2 作者, 专利号: CN105261647A

( 90 ) 半导体器件及其制造方法, 2016, 第 2 作者, 专利号: CN105261587A

( 91 ) 半导体器件及其制造方法, 2016, 第 1 作者, 专利号: CN105261646A

( 92 ) Semiconductor structure and method for manufacturing the same, 2015, 第 2 作者, 专利号: US9209269(B2)

( 93 ) 一种半导体器件及其制造方法, 2015, 第 5 作者, 专利号: CN105098063A

( 94 ) 半导体器件的制造方法, 2015, 第 2 作者, 专利号: CN104981889A

( 95 ) 一种半导体器件的制造方法, 2015, 第 1 作者, 专利号: CN104952713A

( 96 ) 半导体器件及其制造方法, 2015, 第 2 作者, 专利号: CN104779250A

( 97 ) 一种半导体器件的制造方法, 2015, 第 3 作者, 专利号: CN102931086B

( 98 ) 鳍制造方法, 2014, 第 4 作者, 专利号: CN104078332A

( 99 ) 半导体器件制造方法, 2014, 第 5 作者, 专利号: CN104064462A

( 100 ) 一种半导体结构及其制造方法, 2013, 第 2 作者, 专利号: CN103137475A

( 101 ) 半导体器件制造方法, 2012, 第 7 作者, 专利号: CN102543669A

( 102 ) 一种半导体器件及其制造方法, 2011, 第 5 作者, 专利号: CN102299156A

( 103 ) 一种半导体器件及其制造方法, 2011, 第 6 作者, 专利号: CN102110689A

( 104 ) 半导体器件及其制造方法, 2009, 第 2 作者, 专利号: CN100543971C

( 105 ) 半导体器件制造方法, 2009, 第 4 作者, 专利号: CN100477106C

( 106 ) 半导体器件及其制造方法, 2009, 第 1 作者, 专利号: CN101350349A

( 107 ) 半导体器件及其制造方法, 2007, 第 1 作者, 专利号: CN1957461A

( 108 ) 半导体器件及其制造方法, 2007, 第 1 作者, 专利号: CN1901229A

出版信息

   
发表论文
(1) The heavy ions irradiation effects on advanced spin transfer torque materials, JOURNALOFMAGNETISMANDMAGNETICMATERIALS, 2022, 第 4 作者
(2) A Refined Ladder Transmission Line Model for the Extraction of Significantly Low Specific Contact Resistivity, IEEE TRANSACTIONS ON ELECTRON DEVICES, 2022, 第 4 作者
(3) The effect ofγ-ray irradiation on the SOT magnetic films and Hall devices, The effect ofγ-ray irradiation on the SOT magnetic films and Hall devices, 半导体学报:英文版, 2021, 第 5 作者
(4) NiSi/p(+)-Si(n(+)-Si)/n-Si(p-Si) Diodes With Dopant Segregation (DS): p-n or Schottky Junctions?, IEEE TRANSACTIONS ON ELECTRON DEVICES, 2021, 第 3 作者
(5) A Novel Method to Reduce Specific Contact Resistivity of TiSix/n(+)-Si Contacts by Employing an In-Situ Steam Generation Oxidation Prior to Ti Silicidation, IEEE ELECTRON DEVICE LETTERS, 2021, 第 3 作者
(6) NiSi2/p-Si Schottky Junction Photocathode with a High-Quality Epitaxial Interface for Efficient Hydrogen Evolution, ACS APPLIED ENERGY MATERIALS, 2021, 第 4 作者
(7) All-Linear Multistate Magnetic Switching Induced by Electrical Current, PHYSICAL REVIEW APPLIED, 2021, 第 13 作者
(8) Experimental Investigation of As Preamorphization Implant on Electrical Property of Ti-Based Silicide Contacts, IEEE TRANSACTIONS ON ELECTRON DEVICES, 2021, 其他(合作组作者)
(9) Thermal stability issue of ultrathin Ti-based silicide for its application in prospective DRAM peripheral 3D FinFET transistors, JOURNAL OF MATERIALS SCIENCE-MATERIALS IN ELECTRONICS, 2021, 通讯作者
(10) Thermal stability of SOT-MTJ thin films tuning by multiple interlayer couplings, JOURNAL OF MAGNETISM AND MAGNETIC MATERIALS, 2021, 第 4 作者
(11) Metal Silicidation in Conjunction with Dopant Segregation: A Promising Strategy for Fabricating High-Performance Silicon-Based Photoanodes, ACS APPLIED MATERIALS & INTERFACES, 2020, 第 3 作者
(12) Investigation of Barrier Property of Amorphous Co-Ti Layer as Single Barrier/Liner in Local Co Interconnects, IEEE TRANSACTIONS ON ELECTRON DEVICES, 2020, 第 6 作者
(13) Role of Carbon Pre-Germanidation Implantation on Enhancing the Thermal Stability of NiGe Films Below 10 nm Thickness, ECS Journal of Solid State ence and Technology, 2020, 
(14) Investigation of Ultrathin Ni Germanosilicide for Advanced pMOS Contact Metallization, IEEE TRANSACTIONS ON ELECTRON DEVICES, 2020, 第 10 作者
(15) Investigation on the formation technique of SiGe Fin for the high mobility channel FinFET device, JOURNAL OF MATERIALS SCIENCE-MATERIALS IN ELECTRONICS, 2020, 通讯作者
(16) Specific Contact Resistivity Improvement by As Preamorphization Implantation for Ti-Based Ohmic Contacts on n(+)-Si, IEEE TRANSACTIONS ON ELECTRON DEVICES, 2020, 第 9 作者
(17) Effects of Ni Film Thickness on the Properties of Ni-Based Silicides Formed on Both Highly Doped n- and p-Si Substrate, ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY, 2020, 第 4 作者
(18) Experimental investigation of fundamental film properties for Co1-xTix alloying films with different compositions (0 <= x <= 1), JOURNAL OF MATERIALS SCIENCE-MATERIALS IN ELECTRONICS, 2020, 通讯作者
(19) Impact of Ge pre-amorphization implantation on Co/Co-Ti/n(+)-Si contacts in advanced Co interconnects, JAPANESE JOURNAL OF APPLIED PHYSICS, 2020, 通讯作者
(20) Investigation of NiGe Films Formed on Both n(+)- and p(+)-Ge with P and B Ion Implantation before Germanidation, ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY, 2019, 通讯作者
(21) Experimental investigation of fundamental film properties for Co1−xTix alloying films with different compositions (0 ≤ x≤1), Journal of Materials Science: Materials in Electronics, 2019, 
(22) Impact of Ge pre-amorphization implantation on forming ultrathin TiGexon both n- and p-Ge substrate, Japanese Journal of Applied Physics, 2019, 通讯作者
(23) Exploration of the impact of interface states density on the specific contact resistivity in TiSix/n(+)-Si Ohmic contacts through high-low frequency method, JAPANESE JOURNAL OF APPLIED PHYSICS, 2019, 通讯作者
(24) Effect of interfacial Ni between graphene and Pt/Au on reducing specific contact resistivity, JAPANESE JOURNAL OF APPLIED PHYSICS, 2019, 第 6 作者
(25) Impacts of Ge Preamorphization Implantation and Si Capping on the Specific Contact Resistivity of Ni(Pt) SiGe/p(+)-SiGe Contacts, IEEE TRANSACTIONS ON ELECTRON DEVICES, 2019, 第 4 作者
(26) Co-sputtering Co-Ti alloy as a single barrier/liner for Co interconnects and thermal stability enhancement using TiN metal capping, JOURNAL OF MATERIALS SCIENCE-MATERIALS IN ELECTRONICS, 2019, 第 2 作者
(27) Impact of Ge Preamorphization Implantation on Both the Formation of Ultrathin TiSix and the Specific Contact Resistivity in TiSix/n-Si Contacts, IEEE TRANSACTIONS ON ELECTRON DEVICES, 2018, 通讯作者
(28) Improved Ti germanosilicidation by Ge pre-amorphization implantation (PAI) for advanced contact technologies, MICROELECTRONIC ENGINEERING, 2018, 第 3 作者
(29) Enhancing the thermal stability of NiGe by prior-germanidation fluorine implantation into Ge substrate, JAPANESE JOURNAL OF APPLIED PHYSICS, 2018, 通讯作者
(30) Role of Ti Electrode on the Electrical Characterization of Filament within Al2O3 Based Antifuse, ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY, 2018, 第 2 作者
(31) Impact of Ge pre-amorphization implantation on forming ultrathin TiGex on both n- and p-Ge substrate, JAPANESE JOURNAL OF APPLIED PHYSICS, 2018, 通讯作者
(32) On the manifestation ofGe Pre-amorphization Implantation (PAI) in forming ultrathin TiSix for Ti direct contact on Si in sub-16/14 nm Complementary Metal-Oxide-Semiconductor (CMOS) technology nodes, ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY, 2017, 第 2 作者
(33) A Modified Scheme to Reduce the Specific Contact Resistivity of NiSi/Si Contacts by Means of Dopant Segregation Technique, ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY, 2017, 第 6 作者
(34) Investigation of TaN as the wet etch stop layer for HKMG-last integration in the 22nm and beyond nodes CMOS technology, VACUUM, 2015, 第 3 作者
(35) Characterization of positive bias temperature instability of NMOSFET with high-k/metal gate last process, JOURNAL OF SEMICONDUCTORS, 2015, 第11作者
(36) 使用非对称内表面氧化层的MOS管性能优化, Further EOT Scaling for MOSFET with Asymmetric Interfacial Oxide Layer, 微电子学, 2014, 第 6 作者
(37) TDDB characteristic and breakdown mechanism of ultra-thin SiO_2/HfO_2 bilayer gate dielectrics, JOURNAL OF SEMICONDUCTORS, 2014, 第 6 作者
(38) 超薄Ni0.86Pt0.14金属硅化物薄膜特性, 半导体技术, 2014, 第 3 作者
(39) Combining a multi deposition multi annealing technique with a scavenging (Ti) to improve the high-k/metal gate stack performance for a gate-last process, JOURNAL OF SEMICONDUCTORS, 2014, 第 6 作者
(40) Application of Atomic Layer Deposition Tungsten (ALD W) as Gate Filling Metal for 22 nm and Beyond Nodes CMOS Technology, ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY, 2014, 第 5 作者
(41) Mitigation of reverse short channel effect with multilayer TiN Ti TiN metal gates in gate last Pmosfets, IEEE ELECTRON DEVICE LETTERS, 2014, 第 14 作者
(42) Impacts of Back Gate Bias Stressing on Device Characteristics for Extremely Thin SOI (ETSOI) MOSFETs, IEEE ELECTRON DEVICE LETTERS, 2014, 第 5 作者
(43) Impact of TaN as wet etch stop layer on device characteristics for dual metal HKMG last integration CMOSFETs, IEEE ELECTRON DEVICE LETTERS, 2013, 第 2 作者
(44) 硅基不同晶面上的空穴迁移率研究, Hole Mobility Measured on Silicon with Different Crystalline Orientations, 半导体技术, 2013, 第 4 作者
(45) Application of Atomic Layer Deposition Tungsten (ALD W) as Gate Filling Metal for 22 nm and Beyond Nodes CMOS Technology, ATOMIC LAYER DEPOSITION APPLICATIONS 9, 2013, 第 6 作者
(46) Evaluation of TaN as the Wet Etch Stop Layer during the 22nm HKMG Gate Last CMOS Integrations, SEMICONDUCTOR CLEANING SCIENCE AND TECHNOLOGY 13 (SCST 13), 2013, 第 2 作者
(47) Physical understanding of different drain-induced-barrier-lowering variations in high-k/metal gate n-channel metal-oxide-semiconductor-fieldeffect-transistors induced by charge trapping under normal and reverse channel hot carrier stresses, APPLIED PHYSICS LETTERS, 2013, 第 10 作者

科研活动

   
科研项目
( 1 ) 用于光电化学分解水的NixSi/Si复合光电极研究, 负责人, 国家任务, 2020-01--2023-12
( 2 ) 新一代抗辐照材料和器件, 负责人, 研究所自主部署, 2018-11--2019-12
( 3 ) 22 纳米 FDSOI 工艺, 参与, 国家任务, 2017-07--2019-06
( 4 ) 基于FDSOI工艺的物联网智能感知与嵌入式存储电路的核心技术研究, 参与, 地方任务, 2021-04--2024-03
( 5 ) 1X纳米FDSOI先导集成工艺研究, 负责人, 地方任务, 2020-09--2023-09
( 6 ) 新型低温MRAM器件研究, 参与, 中国科学院计划, 2018-01--2022-12
参与会议
(1)Enhancement of thermal stability of NiGe films below 10 nm thickness by carbon pre-germanidation implantation   2019-10-09
(2)Improvement of the Thermal Stability for Ultra-thin Tantalum Silicide by Carbon Pre-silicidation Implantation   2019-10-09