基本信息
杨红  女  博导  中国科学院微电子研究所
email: yanghong@ime.ac.cn
address: 北京市朝阳区北土城西路3号
postalCode:

招生信息

   
招生专业
080903-微电子学与固体电子学
085400-电子信息
140100-集成电路科学与工程
招生方向
集成电路可靠性物理与表征技术

教育背景

2015-09--2019-06   中国科学院大学   工学博士
2002-09--2005-07   北京大学   理科硕士
1998-09--2002-07   北京大学   理科学士

工作经历

   
工作简历
2020-08~现在, 中国科学院微电子研究所, 研究员
2015-09~2019-06,中国科学院大学, 工学博士
2011-08~2020-07,中国科学院微电子研究所, 副研究员
2005-09~2011-08,韩国三星电子半导体事业部(韩国), 工程师/高级工程师
2002-09~2005-07,北京大学, 理科硕士
1998-09~2002-07,北京大学, 理科学士

专利与奖励

   
专利成果
( 1 ) 与非门树结构, 2022, 第 3 作者, 专利号: CN114217193A

( 2 ) 一种测试设备及集成电路测试方法, 2022, 第 2 作者, 专利号: CN114200370A

( 3 ) 半导体器件制造方法, 2022, 第 1 作者, 专利号: CN114121804A

( 4 ) 一种芯片和芯片的制造方法, 2022, 第 2 作者, 专利号: CN114093782A

( 5 ) 半导体结构及其形成方法, 2021, 第 5 作者, 专利号: CN113809011A

( 6 ) 半导体器件的应力测量装置以及方法, 2021, 第 3 作者, 专利号: CN113791325A

( 7 ) 一种半导体结构及其制作方法, 2021, 第 4 作者, 专利号: CN113540342A

( 8 ) 一种堆叠纳米线或片CMOS器件制备方法, 2021, 第 5 作者, 专利号: CN110729248B

( 9 ) 一种半导体器件的制造方法, 2021, 第 5 作者, 专利号: CN113314423A

( 10 ) 半导体结构与其制作方法, 2021, 第 3 作者, 专利号: CN113314500A

( 11 ) 一种半导体结构及其制作方法, 2021, 第 4 作者, 专利号: CN113140448A

( 12 ) 一种半导体结构及其形成方法, 2021, 第 5 作者, 专利号: CN112768342A

( 13 ) 确定器件故障点的测试方法及装置、存储介质, 2021, 第 8 作者, 专利号: CN112649699A

( 14 ) 半导体结构及其形成方法, 2021, 第 5 作者, 专利号: CN112466945A

( 15 ) 一种电子器件及其制作方法、集成电路和电子设备, 2020, 第 6 作者, 专利号: CN111211110A

( 16 ) 半导体器件及其制备方法、集成电路及电子设备, 2020, 第 5 作者, 专利号: CN111180520A

( 17 ) 一种半导体器件及其制备方法、集成电路及电子设备, 2020, 第 5 作者, 专利号: CN111180519A

( 18 ) 一种堆叠纳米线或片环栅CMOS器件的制备方法, 2020, 第 5 作者, 专利号: CN110896055A

( 19 ) 一种鳍状结构的制备方法以及半导体器件的制备方法, 2020, 第 2 作者, 专利号: CN110752156A

( 20 ) 一种与堆叠纳米线或片兼容的输入输出器件及制备方法, 2020, 第 2 作者, 专利号: CN110739272A

( 21 ) 一种接触孔制备方法, 2019, 第 3 作者, 专利号: CN110634801A

( 22 ) 一种自对准双重图形的制备方法、硬掩模图案, 2019, 第 3 作者, 专利号: CN110335813A

( 23 ) 半导体器件及其制造方法, 2019, 第 1 作者, 专利号: CN109950258A

( 24 ) 半导体结构与其制作方法, 2019, 第 3 作者, 专利号: CN109887847A

( 25 ) 纳米线器件的制作方法, 2019, 第 5 作者, 专利号: CN109830525A

( 26 ) 半导体结构与其制作方法, 2019, 第 3 作者, 专利号: CN109712871A

( 27 ) 半导体器件及其制造方法, 2019, 第 4 作者, 专利号: CN109427876A

( 28 ) CMOS器件及其制造方法, 2019, 第 2 作者, 专利号: CN105470256B

( 29 ) 半导体结构与其制作方法, 2018, 第 4 作者, 专利号: CN108878263A

( 30 ) P type MOSFET, 2018, 第 4 作者, 专利号: US10056261(B2)

( 31 ) P型MOSFET及其制造方法, 2017, 专利号: CN103855014B

( 32 ) 一种基于可变功函数栅极的晶体管器件及其制备方法, 2017, 第 3 作者, 专利号: CN107039283A

( 33 ) 一种基于单原子层沉积的金属生长方法, 2017, 第 3 作者, 专利号: CN106987825A

( 34 ) 半导体晶体管金属栅的集成工艺方法, 2017, 第 1 作者, 专利号: CN106601674A

( 35 ) 一种提取半导体缺陷能级的方法及系统, 2017, 第 3 作者, 专利号: CN106556789A

( 36 ) 半导体器件及其制造方法, 2017, 第 4 作者, 专利号: CN106471612A

( 37 ) 半导体器件及其制造方法, 2016, 专利号: CN103855093B

( 38 ) CMOS器件及其制造方法, 2016, 第 2 作者, 专利号: CN105529327A

( 39 ) CMOS器件及其制造方法, 2016, 第 2 作者, 专利号: CN105470256A

( 40 ) 一种后栅工艺中的栅极形成方法, 2015, 第 3 作者, 专利号: CN104779150A

( 41 ) 半导体器件制造方法, 2015, 第 4 作者, 专利号: CN104766823A

( 42 ) 半导体设置及其制造方法, 2015, 第 7 作者, 专利号: CN104716171A

( 43 ) 降低栅介质的泄漏电流的方法, 2015, 第 1 作者, 专利号: CN104377126A

( 44 ) 半导体器件制造方法, 2015, 第 3 作者, 专利号: CN104377168A

( 45 ) 半导体器件制造方法, 2015, 第 3 作者, 专利号: CN104377124A

( 46 ) SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF, 2015, 第 4 作者, 专利号: US2015048458(A1)

( 47 ) P TYPE MOSFET AND METHOD FOR MANUFACTURING THE SAME, 2015, 第 4 作者, 专利号: US20150041925A1

( 48 ) P TYPE MOSFET AND METHOD FOR MANUFACTURING THE SAME, 2015, 第 4 作者, 专利号: US20150041925(A1)

( 49 ) 金属栅电极等效功函数调节方法, 2015, 第 1 作者, 专利号: CN104347411A

( 50 ) N型MOSFET及其制造方法, 2014, 第 4 作者, 专利号: CN103855008A

( 51 ) P型MOSFET及其制造方法, 2014, 第 4 作者, 专利号: CN103855014A

( 52 ) 一种半导体结构及其制作方法, 2014, 第 4 作者, 专利号: CN103681801A

( 53 ) 具有双功函数金属栅的互补场效应晶体管及其制造方法, 2014, 第 4 作者, 专利号: CN103579113A

( 54 ) 栅极结构的形成方法、半导体器件的形成方法以及半导体器件, 2014, 第 1 作者, 专利号: CN103545191A

( 55 ) 栅极结构的形成方法、半导体器件的形成方法以及半导体器件, 2014, 第 1 作者, 专利号: CN103545190A

( 56 ) 栅极结构、半导体器件和两者的形成方法, 2014, 第 1 作者, 专利号: CN103545189A

( 57 ) 一种低功函数金属栅形成方法, 2014, 第 4 作者, 专利号: CN103545182A

( 58 ) CMOS器件及其制造方法, 2011, 第 2 作者, 专利号: CN101958328A

( 59 ) 半导体器件制造方法, 2006, 第 3 作者, 专利号: CN1787186A

出版信息

   
发表论文
(1) Dependence of short channel length on negative/positive bias temperature instability (NBTI/PBTI) for 3D FinFET devices, Dependence of short channel length on negative/positive bias temperature instability (NBTI/PBTI) for 3D FinFET devices, CHINESE PHYSICS B, 2022, 通讯作者
(2) Mechanism Analysis of Ultralow Leakage and Abnormal Instability in InGaZnO Thin-Film Transistor Toward DRAM, IEEE TRANSACTIONS ON ELECTRON DEVICES, 2022, 第 2 作者
(3) Recovery Behavior of Interface Traps After Negative Bias Temperature Instability Stress in p-FinFETs Featuring Fast Trap Characterization Technique, IEEE TRANSACTIONS ON ELECTRON DEVICES, 2021, 通讯作者
(4) Alleviation of Negative-Bias Temperature Instability in Si p-FinFETs With ALD W Gate-Filling Metal by Annealing Process Optimization, IEEE JOURNAL OF THE ELECTRON DEVICES SOCIETY, 2021, 通讯作者
(5) Study of the yield improvement and reliability of 28 nm advanced chips based on structural analysis, JOURNAL OF MATERIALS SCIENCE-MATERIALS IN ELECTRONICS, 2021, 通讯作者
(6) An Investigation of Field Reduction Effect on NBTI Parameter Characterization and Lifetime Prediction Using a Constant Field Stress Method, IEEE TRANSACTIONS ON DEVICE AND MATERIALS RELIABILITY, 2020, 通讯作者
(7) Insights Into the Effect of TiN Thickness Scaling on DC and AC NBTI Characteristics in Replacement Metal Gate pMOSFETs, IEEE TRANSACTIONS ON DEVICE AND MATERIALS RELIABILITY, 2020, 通讯作者
(8) Comparative Study on the Energy Profile of NBTI-Related Defects in Si and Ferroelectric p-FinFETs, 2020 IEEE INTERNATIONAL RELIABILITY PHYSICS SYMPOSIUM (IRPS), 2020, 通讯作者
(9) Comparative study on NBTI kinetics in Si p-FinFETs with B2H6-based and SiH4-based atomic layer deposition tungsten (ALD W) filling metal, MICROELECTRONICS RELIABILITY, 2020, 通讯作者
(10) Degradation Mechanism of Short Channel p-FinFETs under Hot Carrier Stress and Constant Voltage Stress, 2020 IEEE INTERNATIONAL SYMPOSIUM ON THE PHYSICAL AND FAILURE ANALYSIS OF INTEGRATED CIRCUITS (IPFA), 2020, 通讯作者
(11) Influence of an ALD TiN capping layer on the PBTI characteristics of n-FinFET with ALD HfO2/TiN-capping/TiAl gate stacks, Influence of an ALD TiN capping layer on the PBTI characteristics of n-FinFET with ALD HfO2/TiN-capping/TiAl gate stacks, SCIENCE CHINA-INFORMATION SCIENCES, 2020, 第 1 作者
(12) Understanding Frequency Dependence of Trap Generation Under AC Negative Bias Temperature Instability Stress in Si p-FinFETs, IEEE ELECTRON DEVICE LETTERS, 2020, 通讯作者
(13) Impact of Electron trapping on Energy Distribution Characterization of NBTI-Related Defects for Si p-FinFETs, 2020 IEEE INTERNATIONAL SYMPOSIUM ON THE PHYSICAL AND FAILURE ANALYSIS OF INTEGRATED CIRCUITS (IPFA), 2020, 通讯作者
(14) Comprehensive Study and Design of High-k/SiGe Gate Stacks with Interface-Engineering by Ozone Oxidation, ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY, 2019, 第 6 作者
(15) Miniaturization of CMOS, MICROMACHINES, 2019, 第11作者
(16) Physical Mechanism Underlying the Time Exponent Shift in the Ultra-fast NBTI of High-k/Metal gated p-CMOSFETs, 2018 25TH IEEE INTERNATIONAL SYMPOSIUM ON THE PHYSICAL AND FAILURE ANALYSIS OF INTEGRATED CIRCUITS (IPFA), 2018, 通讯作者
(17) Impact of ALD TiN Capping Layer on Interface Trap and Channel Hot Carrier Reliability of HKMG nMOSFETs, IEEE ELECTRON DEVICE LETTERS, 2018, 第 1 作者
(18) 高k HfO2栅介质淀积后退火工艺研究, Study on Post Deposition Annealing Process of the High-k HfO2 Gate Dielectric, 半导体技术, 2018, 第 3 作者
(19) Crystallization behaviors of ultrathin Al-doped HfO2 amorphous films grown by atomic layer deposition, CHIN. PHYS. B, 2017, 第 9 作者
(20) Hole mobility degradation by remote Coulomb scattering and charge distribution in Al2O3/GeOx gate stacks in bulk Ge pMOSFET with GeOx grown by ozone oxidation, JOURNAL OF PHYSICS D-APPLIED PHYSICS, 2017, 第 5 作者
(21) Stress-induced leakage current characteristics of PMOS fabricated by a new multi-deposition multi-annealing technique with full gate last process, CHINESE PHYSICS B, 2017, 第 2 作者
(22) Crystallization behaviors of ultrathin Al-doped HfO_2 amorphous films grown by atomic layer deposition, CHINESE PHYSICS B, 2017, 第 2 作者
(23) Series resistance effect on time zero dielectrics breakdown characteristics of MOSCAP with ultra-thin EOT high-k/metal gate stacks, JOURNAL OF SEMICONDUCTORS, 2016, 第 2 作者
(24) FOI FinFET with Ultra-low Parasitic Resistance Enabled by Fully Metallic Source and Drain Formation on Isolated Bulk-Fin, 2016 IEEE International Electron Devices Meeting: IEDM 2016, San Francisco, California, USA, 3-7 December 2016, pages 452-929, v.2, 2016, 第 4 作者
(25) Accurate lifetime prediction for channel hot carrier stress on sub-1 nm equivalent oxide thickness HK/MG nMOSFET with thin titanium nitride capping layer, MICROELECTRONICS RELIABILITY, 2016, 通讯作者
(26) Study on influences of TiN capping layer on time-dependent dielectric breakdown characteristic of ultra-thin EOT high-K metal gate NMOSFET with kMC TDDB simulations, CHINESE PHYSICS B, 2016, 第 2 作者
(27) Study on influences of TiN capping layer on time-dependent dielectric breakdown characteristic of ultra-thin EOT high-kappa metal gate NMOSFET with kMC TDDB simulations, CHINESE PHYSICS B, 2016, 第 2 作者
(28) Temperature-and voltage-dependent trap generation model in high-kappa metal gate MOS device with percolation simulation, CHINESE PHYSICS B, 2016, 第 2 作者
(29) Temperature- and voltage-dependent trap generation model in high-k metal gate MOS device with percolation simulation, CHINESE PHYSICS B, 2016, 第 2 作者
(30) Influence of multi-deposition multi-annealing on time-dependent dielectric breakdown characteristics of PMOS with high-k/metal gate last process, CHINESE PHYSICS B, 2015, 第 2 作者
(31) Device parameter optimization for sub-20 nm node HK/MG-last bulk FinFETs, JOURNAL OF SEMICONDUCTORS, 2015, 第 9 作者
(32) Planar Bulk MOSFETs With Self-Aligned Pocket Well to Improve Short-Channel Effects and Enhance Device Performance, IEEE TRANSACTIONS ON ELECTRON DEVICES, 2015, 第 7 作者
(33) Energy distribution extraction of negative charges responsible for positive bias temperature instability, CHINESE PHYSICS B, 2015, 第 2 作者
(34) Influence of ultra-thin TiN thickness (1.4 nm and 2.4 nm) on positive bias temperature instability (PBTI) of high-k/metal gate nMOSFETs with gate-last process, CHINESE PHYSICS B, 2015, 第 2 作者
(35) Electric dipole formation at high-k dielectric/SiO_2 interface, JOURNAL OF SEMICONDUCTORS, 2015, 第 3 作者
(36) Characterization of positive bias temperature instability of NMOSFET with high-k/metal gate last process, JOURNAL OF SEMICONDUCTORS, 2015, 第 5 作者
(37) TDDB characteristic and breakdown mechanism of ultra-thin SiO_2/HfO_2 bilayer gate dielectrics, JOURNAL OF SEMICONDUCTORS, 2014, 第 2 作者
(38) Combining a multi deposition multi annealing technique with a scavenging (Ti) to improve the high-k/metal gate stack performance for a gate-last process, JOURNAL OF SEMICONDUCTORS, 2014, 第 2 作者
(39) Mitigation of reverse short channel effect with multilayer TiN Ti TiN metal gates in gate last Pmosfets, IEEE ELECTRON DEVICE LETTERS, 2014, 其他(合作组作者)
(40) The effects of process condition of Top-TiN and TaN thickness on the effective work function of MOSCAP with high-k/metal gate stacks, JOURNAL OF SEMICONDUCTORS, 2014, 第 2 作者
(41) Analysis of flatband voltage shift of metal/high-k/SiO_2/Si stack based on energy band alignment of entire gate stack, CHINESE PHYSICS B, 2014, 第 4 作者
(42) An effective work-function tuning method of nMOSCAP with high-k/metal gate by TiN/TaN double-layer stack thickness, JOURNAL OF SEMICONDUCTORS, 2014, 第 2 作者
(43) Impact of TaN as wet etch stop layer on device characteristics for dual metal HKMG last integration CMOSFETs, IEEE ELECTRON DEVICE LETTERS, 2013, 第 3 作者
(44) Effects of charge and dipole on flatband voltage in an MOS device with a Gd-doped HfO_2 dielectric, CHINESE PHYSICS B, 2013, 第 3 作者
(45) Effect of low temperature annealing on the electrical properties of an MOS capacitor with a HfO_2 dielectric and a TiN metal gate, JOURNAL OF SEMICONDUCTORS, 2013, 第 4 作者
(46) A possible origin of core-level shift in SiO2/Si stacks, APPLIED PHYSICS LETTERS, 2013, 第 6 作者
(47) Physical understanding of different drain-induced-barrier-lowering variations in high-k/metal gate n-channel metal-oxide-semiconductor-fieldeffect-transistors induced by charge trapping under normal and reverse channel hot carrier stresses, APPLIED PHYSICS LETTERS, 2013, 第 2 作者
(48) Reexamination of band offset transitivity employing oxide heterojunctions, APPLIED PHYSICS LETTERS, 2013, 第 6 作者
(49) Band alignment of TiN/HfO2 interface of TiN/HfO2/SiO2/Si stack, APPLIED PHYSICS LETTERS, 2012, 第 4 作者
(50) Band alignment of HfO2 on SiO2/Si structure, APPLIED PHYSICS LETTERS, 2012, 第 5 作者

科研活动

   
科研项目
( 1 ) 双金属栅CMOS器件的可靠性退化机制及其抑制方法研究, 负责人, 国家任务, 2014-01--2016-12
( 2 ) 基于半导体器件电学测试平台的可靠性自动化测试功能扩展与优化, 负责人, 中国科学院计划, 2017-09--2019-08
( 3 ) 器件可靠性技术研究, 负责人, 境内委托项目, 2016-01--2019-12
( 4 ) 高端芯片可靠性与可信任性评价分析关键技术, 参与, 地方任务, 2019-01--2021-12
( 5 ) 5纳米先导技术研究-5nm锗/锗硅高迁移率沟道三维器件及关键共性技术, 参与, 国家任务, 2017-01--2020-12
( 6 ) 3-1纳米集成电路新器件与先导工艺, 参与, 中国科学院计划, 2019-09--2020-10
( 7 ) 微纳器件与电路物理分析平台, 参与, 中国科学院计划, 2020-01--2020-12
( 8 ) 碳纳米管器件XXX研究, 负责人, 国家任务, 2020-08--2023-08
( 9 ) 突破异质集成的新材料和器件原理研究, 参与, 国家任务, 2021-01--2024-12
( 10 ) 先进逻辑器件的可靠性共性技术研究, 负责人, 境内委托项目, 2023-01--2025-12
参与会议
(1)A Fast DCIV Technique for Characterizing the Generation and Repassivation of Interface Traps Under DC/AC NBTI Stress/Recovery Condition in Si p-FinFETs   2021-04-30
(2)Comparative Study on the Energy Profile of NBTI-Related Defects in Si and Ferroelectric p-FinFETs   2020-04-30
(3)Comparison of NBTI kinetics in Replacement Metal Gate Si p-FinFETs featuring Atomic Layer Deposition Tungsten Filling Metal Using B2H6 and SiH4 Precursors   2019-07-01