基本信息
殷华湘  男  博导  中国科学院微电子研究所
电子邮件: yinhuaxiang@ime.ac.cn
通信地址: 北京市朝阳区北土城西路3号
邮政编码: 100029

研究领域

1. 高级纳米CMOS器件

2. 集成电路先进工艺技术

3. 低维纳米材料与器件

4. 信息显示功能器件

5. 先进半导体辐射探测器

招生信息

每年招收博士、硕士研究生2-5名,以博士研究生为主

招生专业
080903-微电子学与固体电子学
080901-物理电子学
085400-电子信息
招生方向
集成电路先导工艺技术
半导体器件
物联网与传感器技术

教育背景

2000-03--2003-03   中国科学院微电子研究所   博士学位
1996-09--1999-07   中国科学院微电子研究所   硕士学位
1992-09--1996-07   天津大学   学士学位
学历

博士研究生

学位

工学博士

工作经历

20032010年期间在韩国三星电子(集团)中央研究所——综合技术院任高级研究员;2010年至今在中国科学院微电子研究所任研究员、集成电路先导工艺研究中心及中科院微电子器件和集成技术重点实验室副主任。2011年入选中科院“****”。

工作简历
2017-05~现在, 中国科学院微电子研究所, 副主任/研究员
2010-08~2017-04,中国科学院微电子研究所, 研究员
2003-07~2010-07,韩国三星电子(集团)综合技术院, 高级研究员

教授课程

半导体器件物理
半导体工艺与制造技术
半导体制造技术

专利与奖励

已申请300余项专利,已获得130余项中国、美国、韩国等发明专利授权,其中包括美国专利授权超过30项。获得国家、省部级科研奖励4项。

奖励信息
(1) 中国电子信息科技创新团队奖, 一等奖, 部委级, 2020
(2) 22-14纳米集成电路器件工艺先导技术, 二等奖, 国家级, 2017
(3) 22纳米集成电路核心工艺技术及应用, 一等奖, 省级, 2016
(4) 极大规模集成电路关键技术研究集体, 一等奖, 院级, 2014
专利成果
[1] 张楠, 张青竹, 张静, 张兆浩, 魏千惠, 屠海令, 殷华湘, 赵鸿斌, 王文武. 生物传感器的制造方法、生物传感器及生物传感器的应用. CN: [[[CN111693589A]]], [[["2020-09-22"]]].
[2] 吴振华, 霍嘉丽, 张亚东, 张兆浩, 殷华湘, 许高博. 基于双层铁电材料的FeFET器件及其制造方法. CN: CN116613213A, 2023-08-18.
[3] 殷华湘, 张学祥, 姚佳欣. 一种混合集成的SRAM存储单元结构及制备方法. CN: CN116600563A, 2023-08-15.
[4] 王桂磊, 亨利·H·阿达姆松, 孔真真, 李俊杰, 刘金彪, 李俊峰, 殷华湘. 一种半导体器件及其制造方法. CN: CN111613584B, 2023-07-25.
[5] 殷华湘, 桑冠荞, 张青竹, 秦旭磊. 一种半导体器件及其制造方法. CN: CN116453942A, 2023-07-18.
[6] 许高博, 颜刚平, 宋智雨, 杨尚博, 殷华湘, 王桂磊, 赵超. 一种背栅氧化物半导体器件采用低温、低压引发的氢自掺杂效应的退火方法. CN: CN116387159A, 2023-07-04.
[7] 贺晓彬, 张青竹, 殷华湘, 李俊峰, 刘金彪, 李亭亭. 电子束正胶的曝光方法. CN: CN111999987B, 2023-05-02.
[8] 吴振华, 甘维卓, 张兆浩, 张永奎, 李俊杰, 殷华湘, 朱慧珑, 郭鸿. 一种半导体器件及其制造方法、集成电路、电子设备. CN: CN112652664B, 2023-02-03.
[9] 王桂磊, 亨利·H·阿达姆松, 孔真真, 李俊峰, 殷华湘, 王文武. 一种纳米线及其制作方法. CN: CN111128676B, 2023-02-03.
[10] 李永亮, 赵飞, 殷华湘, 罗军, 王文武. 一种半导体器件及其制造方法. CN: CN115621320A, 2023-01-17.
[11] 殷华湘, 罗彦娜, 张青竹, 吴振华. 一种CFET结构、其制备方法以及应用其的半导体器件. CN: CN112687626B, 2023-01-03.
[12] 许高博, 殷华湘, 罗军, 颜刚平, 田国良. 一种无电容动态随机存储器及其制备方法. CN: CN115360233A, 2022-11-18.
[13] 许高博, 殷华湘, 罗军, 颜刚平, 田国良. 一种动态随机存储器及其制备方法. CN: CN115332252A, 2022-11-11.
[14] 殷华湘, 张青竹, 姚佳欣, 曹磊. 一种抑制沟道漏电的堆叠纳米片GAA-FET中的制备方法. CN: CN115295416A, 2022-11-04.
[15] 殷华湘, 姚佳欣, 张青竹, 魏延钊. 一种多阈值堆叠纳米片GAA-FET器件阵列及其制备方法. CN: CN115274448A, 2022-11-01.
[16] 曹磊, 殷华湘, 张青竹, 吴振华, 李俊杰. 一种异质混合沟道结构半导体器件的制备方法. CN: CN115172274A, 2022-10-11.
[17] 曹磊, 殷华湘, 张青竹, 姚佳欣. 一种空气内侧墙纳米片环栅晶体管及其制造方法. CN: CN115172441A, 2022-10-11.
[18] 殷华湘, 姚佳欣, 张青竹, 魏延钊. 一种多阈值堆叠纳米片GAA-FET器件阵列的制备方法. CN: CN115172168A, 2022-10-11.
[19] 李永亮, 赵飞, 程晓红, 张青竹, 殷华湘, 罗军, 王文武. 一种半导体器件及其制造方法. CN: CN115172447A, 2022-10-11.
[20] 李纯, 刘昊炎, 李永亮, 殷华湘, 罗军, 王文武. 一种半导体器件的制造方法. CN: CN115116956A, 2022-09-27.
[21] 刘昊炎, 李永亮, 殷华湘, 罗军, 王文武. 一种半导体器件及其制造方法. CN: CN115117147A, 2022-09-27.
[22] 殷华湘, 张青竹, 姚佳欣, 曹磊. 一种半导体器件及其制备方法. CN: CN115064576A, 2022-09-16.
[23] 殷华湘, 许高博, 翟琼华, 傅剑宇. X射线阵列传感器、探测器及其制作方法. CN: CN115000235A, 2022-09-02.
[24] 李永亮, 张佳熠, 贾晓峰, 赵飞, 殷华湘, 罗军, 王文武. 一种半导体器件的制造方法. CN: CN114975282A, 2022-08-30.
[25] 李永亮, 陈安澜, 赵飞, 程晓红, 殷华湘, 罗军, 王文武. 一种半导体器件及其制造方法. CN: CN114944392A, 2022-08-26.
[26] 殷华湘, 曹磊, 张青竹, 姚佳欣. 一种半导体器件及其制备方法. CN: CN114927555A, 2022-08-19.
[27] 孙朋, 傅剑宇, 许高博, 丁明正, 殷华湘, 陈大鹏. 硅基探测器及其制作方法. CN: CN114927589A, 2022-08-19.
[28] 殷华湘, 张青竹, 姚佳欣, 曹磊. 一种半导体器件及其制备方法. CN: CN114927556A, 2022-08-19.
[29] 殷华湘, 张青竹, 姚佳欣, 曹磊. 一种半导体器件制备方法. CN: CN114927422A, 2022-08-19.
[30] 张兆浩, 张青竹, 许高博, 吴振华, 殷华湘. 一种基于3元内容寻址存储器TCAM的系统. CN: CN114898788A, 2022-08-12.
[31] 李永亮, 张佳熠, 殷华湘, 罗军, 王文武. 一种半导体器件的制造方法. CN: CN114883270A, 2022-08-09.
[32] 杨尚博, 许高博, 殷华湘, 罗军. 一种无电容DRAM单元结构及制造方法. CN: CN114864583A, 2022-08-05.
[33] 李永亮, 赵飞, 陈安澜, 张佳熠, 殷华湘, 罗军, 王文武. 一种半导体器件及其制造方法. CN: CN114823668A, 2022-07-29.
[34] 朴灿圭, 杨红, 杨涛, 王文武, 李俊峰, 殷华湘. 动态随机存储器的芯片测试方法及装置. CN: CN114765049A, 2022-07-19.
[35] 李永亮, 贾晓锋, 殷华湘, 罗军, 王文武. 一种半导体器件的制造方法. CN: CN114709175A, 2022-07-05.
[36] 李永亮, 刘昊炎, 殷华湘, 罗军, 王文武. 一种半导体器件及其制造方法. CN: CN114613769A, 2022-06-10.
[37] 李永亮, 张佳熠, 殷华湘, 罗军, 王文武. 一种半导体器件及其制造方法. CN: CN114613770A, 2022-06-10.
[38] 殷华湘, 姚佳欣, 徐忍忍, 魏延钊. 一种环绕栅极晶体管及其制备方法. CN: CN114582805A, 2022-06-03.
[39] 殷华湘, 姚佳欣, 徐忍忍, 魏延钊. 堆叠纳米片环栅CMOS器件及其制备方法. CN: CN114551357A, 2022-05-27.
[40] 康卜文, 杨红, 杨涛, 王文武, 李俊峰, 殷华湘. 堆叠式存储器及其制造方法. CN: CN114446334A, 2022-05-06.
[41] 康卜文, 杨红, 杨涛, 王文武, 范正萍, 殷华湘. 堆叠式存储器及堆叠式存储器的存储裸片的重置方法. CN: CN114446335A, 2022-05-06.
[42] 康卜文, 杨红, 杨涛, 王文武, 李俊峰, 殷华湘. 堆叠式存储器及其制造方法. CN: CN114446334A, 2022-05-06.
[43] 康卜文, 杨红, 杨涛, 王文武, 范正萍, 殷华湘. 堆叠式存储器及堆叠式存储器的存储裸片的重置方法. CN: CN114446335A, 2022-05-06.
[44] 宋智雨, 许高博, 颜刚平, 殷华湘, 罗军. 一种基于薄膜晶体管的无电容DRAM单元结构及制造方法. CN: CN114334980A, 2022-04-12.
[45] 郑相贤, 杨红, 杨涛, 王文武, 范正萍, 殷华湘. 一种测试设备及集成电路测试方法. CN: CN114200370A, 2022-03-18.
[46] 孙朋, 傅剑宇, 许高博, 丁明正, 殷华湘, 陈大鹏. 一种硅像素探测器及其制备方法. CN: CN114156292A, 2022-03-08.
[47] 杨红, 王文武, 赵超, 闫江, 殷华湘. 半导体器件制造方法. CN: CN114121804A, 2022-03-01.
[48] 侯朝昭, 殷华湘. 存储器及其制作方法. CN: CN114078853A, 2022-02-22.
[49] 殷华湘, 张青竹, 张兆浩, 许高博. 垂直纳米线晶体管与其制作方法. CN: CN108428634B, 2022-02-22.
[50] 全宗植, 吴容哲, 杨涛, 高建峰, 殷华湘. 半导体存储器、其制作方法及电子设备. CN: CN114068537A, 2022-02-18.
[51] 张铉瑀, 许民, 吴容哲, 杨涛, 高建峰, 殷华湘. 一种半导体电容器结构及其制造方法、存储器、电子设备. CN: CN114068538A, 2022-02-18.
[52] 李俊杰, 罗军, 王文武, 杨涛, 李永亮, 周娜, 高建峰, 殷华湘, 张静, 洪欣. 一种半导体器件及其制备方法、电子设备. CN: CN114038911A, 2022-02-11.
[53] 张青竹, 殷华湘, 曹磊, 张兆浩, 顾杰, 田佳佳, 李俊杰, 姚佳欣, 李永亮, 张永奎, 吴振华, 赵鸿滨, 罗军, 王文武, 屠海令, 叶甜春. 一种半导体器件的制备方法及半导体器件. CN: CN114005826A, 2022-02-01.
[54] 李亭亭, 贺晓彬, 张青竹, 项金娟, 王晓磊, 殷华湘, 李俊峰. 一种半导体结构及其制备方法. CN: CN113948967A, 2022-01-18.
[55] 殷华湘, 徐秋霞, 陈大鹏. 半导体器件及其制造方法. KR: CN113921499A, 2022-01-11.
[56] 许民, 张铉瑀, 吴容哲, 姜东勋, 高建峰, 张月, 杨涛, 李俊峰, 王文武. 半导体结构、其制作方法、半导体存储器及电子设备. CN: CN113838851A, 2021-12-24.
[57] 毛淑娟, 殷华湘, 刘战峰, 王桂磊, 罗军. 一种基带RF一体化集成结构及集成方法. CN: CN113809070A, 2021-12-17.
[58] 许高博, 殷华湘, 徐秋霞, 田国良, 颜刚平, 翟琼华, 丁明正. 一种半导体器件及制备方法. CN: CN113793806A, 2021-12-14.
[59] 张兆浩, 张青竹, 许高博, 殷华湘, 王文武. 一种铁电场效应管及其制备方法以及铁电存算器件. CN: CN113782607A, 2021-12-10.
[60] 李俊杰, 刘耀东, 罗军, 周娜, 王桂磊, 高建峰, 杨涛, 殷华湘, 赵超, 朱慧珑, 王文武. 一种二极管、探测器及探测器的制作方法. CN: CN111244193B, 2021-12-07.
[61] 甘维卓, 吴振华, 许高博, 李俊杰, 殷华湘, 郭鸿. 冷源MOS晶体管及制作方法. CN: CN113745314A, 2021-12-03.
[62] 丁明正, 许高博, 翟琼华, 傅剑宇, 孙朋, 殷华湘, 颜刚平, 田国良, 李琳, 张琦辉, 贺晓彬. 硅基探测器的制造方法及用于其的热处理装置. CN: CN113675296A, 2021-11-19.
[63] 殷华湘, 张永奎, 赵治国, 陆智勇, 朱慧珑. 半导体器件及其制造方法. KR: CN106611791B, 2021-11-09.
[64] 张青竹, 殷华湘, 张兆浩, 曹磊, 李永亮, 李俊杰, 顾杰, 姚佳欣, 田佳佳, 张静, 王文武, 屠海令. 一种堆叠纳米线/片器件及其制备方法. CN: CN113540246A, 2021-10-22.
[65] 殷华湘, 张永奎, 赵治国, 朱慧珑. 鳍式场效应晶体管的制造方法. CN: CN113506744A, 2021-10-15.
[66] 殷华湘, 秦长亮, 马小龙, 徐秋霞, 陈大鹏. 半导体器件及其制造方法. KR: CN110010689B, 2021-10-08.
[67] 颜刚平, 许高博, 殷华湘, 周娜, 胡艳鹏, 刘卫兵, 高建峰, 毕津顺. 一种氧化物半导体器件及其制备方法. CN: CN113471295A, 2021-10-01.
[68] 殷华湘, 朱慧珑. 半导体器件及其制造方法. CN: CN113363326A, 2021-09-07.
[69] 毛淑娟, 刘战峰, 殷华湘, 刘金彪, 王桂磊, 李永亮, 罗军. 一种半导体器件及其制造方法. CN: CN113363214A, 2021-09-07.
[70] 毛淑娟, 刘战峰, 殷华湘, 刘金彪, 王桂磊",null,"罗军. 一种半导体器件及其制造方法. CN: CN113363214A, 2021-09-07.
[71] 毛淑娟, 殷华湘, 刘战峰, 罗军, 刘金彪, 张青竹, 张亚东. 一种半导体器件及其制造方法. CN: CN113345840A, 2021-09-03.
[72] 毛淑娟, 殷华湘",null,null,"张青竹, 罗军. 一种半导体器件及其制造方法. CN: CN113345841A, 2021-09-03.
[73] 刘战峰, 殷华湘, 张亚东",null,null,null,"张青竹. 一种半导体器件的制造方法. CN: CN113327896A, 2021-08-31.
[74] 李俊杰, 徐秋霞, 周娜, 殷华湘, 贺晓彬, 李俊峰, 王文武. 纳米线围栅MOS器件及其制备方法. CN: CN108962750B, 2021-08-31.
[75] 张利斌, 韦亚一, 殷华湘",null,null,null,"罗军, 王文武. 一种半导体器件的制造方法. CN: CN113314423A, 2021-08-27.
[76] 许高博, 翟琼华, 丁明正, 傅剑宇, 孙朋, 殷华湘, 颜刚平, 田国良. 硅基探测器及其制作方法. CN: CN113299785A, 2021-08-24.
[77] 罗彦娜, 殷华湘, 吴振华, 张青竹, 曹磊. 一种CFET结构、其制备方法以及应用其的半导体器件. CN: CN113206090A, 2021-08-03.
[78] 许高博. 一种半导体器件及制备方法. CN: CN113192891A, 2021-07-30.
[79] 田国良, 许高博, 殷华湘, 刘金彪. 一种铁电薄膜及其沉积方法、应用. CN: CN113178478A, 2021-07-27.
[80] 张青竹, 田佳佳, 李俊杰, 吴次南, 张兆浩, 殷华湘, 刘战峰, 毛淑娟, 张静, 王文武, 屠海令. 一种纳米网的制备方法. CN: CN113173553A, 2021-07-27.
[81] 田佳佳, 张青竹, 李俊杰, 吴次南, 张兆浩, 殷华湘, 张静, 王文武. 一种垂直纳米线阵列的制备方法. CN: CN113173557A, 2021-07-27.
[82] 田佳佳, 张青竹, 殷华湘, 张兆浩, 李俊杰, 李永亮, 吴次南, 刘战峰, 毛淑娟, 王文武, 屠海令. 一种纳米线MIM阵列器件及制备方法. CN: CN113173555A, 2021-07-27.
[83] 田国良, 许高博, 殷华湘, 项金娟. 一种HfO 2 基铁电薄膜及其沉积方法. CN: CN113178477A, 2021-07-27.
[84] 曹磊, 张青竹, 殷华湘, 张兆浩, 顾杰. 一种隧穿场效应晶体管及其制备方法. CN: CN113178490A, 2021-07-27.
[85] 殷华湘, 张青竹, 张兆浩, 曹磊, 顾杰. 一种负电容场效应晶体管及其制备方法、一种半导体器件. CN: CN113178491A, 2021-07-27.
[86] 曹磊, 张青竹, 殷华湘, 张兆浩, 顾杰. 一种隧穿场效应晶体管及其制备方法. CN: CN113178490A, 2021-07-27.
[87] 曹磊, 殷华湘, 张青竹, 张兆浩, 顾杰. 一种薄电容耦合晶闸管及薄电容耦合晶闸管的制备方法. CN: CN113178484A, 2021-07-27.
[88] 张青竹, 殷华湘, 曹磊, 张兆浩, 田佳佳, 顾杰, 李俊杰, 姚佳欣, 李永亮, 张永奎, 吴振华, 赵鸿滨, 罗军, 王文武, 屠海令, 叶甜春. 一种Z 2 -FET器件及其制备方法、一种半导体器件. CN: CN113178489A, 2021-07-27.
[89] 顾杰, 殷华湘, 张青竹, 张兆浩, 吴振华. 一种量子点器件及其制备方法. CN: CN113109974A, 2021-07-13.
[90] 殷华湘, 朱慧珑, 马小龙. 半导体器件及其制造方法. CN: CN113097131A, 2021-07-09.
[91] 刘战峰, 殷华湘, 刘卫兵, 毛淑娟, 罗彦娜. 一种钨复合膜层及其生长方法、单片3DIC. CN: CN113053804A, 2021-06-29.
[92] 张亚东, 刘战峰, 吴振华, 殷华湘. 一种晶圆级二维材料的转移方法及器件制备方法. CN: CN113035781A, 2021-06-25.
[93] 王桂磊, 亨利·H·阿达姆松, 林鸿霄, 孔真真, 罗雪, 张青竹, 殷华湘, 余嘉晗. 一种GAAFET器件的沟道结构及其制备方法. CN: CN113035941A, 2021-06-25.
[94] 王桂磊, 亨利·H·阿达姆松, 林鸿霄, 孔真真, 罗雪, 张青竹, 殷华湘, 余嘉晗. 一种叠层环栅的沟道结构及其制备方法. CN: CN113013233A, 2021-06-22.
[95] 毛淑娟, 刘战峰, 殷华湘, 刘金彪, 王桂磊, 李永亮, 罗军. 一种NMOS晶体管及其制造方法、三维异质集成芯片. CN: CN112864229A, 2021-05-28.
[96] 马小龙, 殷华湘, 许淼, 朱慧珑. 半导体器件. KR: CN106684147B, 2021-05-18.
[97] 吴振华, 甘维卓, 张兆浩, 张永奎, 李俊杰, 殷华湘, 朱慧珑, 郭鸿. 一种半导体器件及其制造方法、集成电路、电子设备. CN: CN112652664A, 2021-04-13.
[98] 殷华湘, 刘战峰, 罗彦娜, 叶甜春. 一种三维NAND存储器及其制备方法. CN: CN112635474A, 2021-04-09.
[99] 殷华湘, 刘战峰, 罗彦娜, 毛淑娟. 一种三维存算电路结构及其制备方法. CN: CN112635472A, 2021-04-09.
[100] 许高博, 殷华湘, 翟琼华. 一种漂移探测器的双面制备方法及漂移探测器. CN: CN110854222B, 2021-04-06.
[101] 许高博, 殷华湘, 翟琼华. 一种漂移探测器的制备方法及漂移探测器. CN: CN110854223B, 2021-04-06.
[102] 殷华湘, 徐秋霞, 陈大鹏. 半导体结构及其制造方法. CN: CN112582276A, 2021-03-30.
[103] 贾云丛, 殷华湘, 袁烽, 陈大鹏. 一种封装方法. CN: CN112447532A, 2021-03-05.
[104] 顾杰, 殷华湘, 张青竹, 张兆浩. 避免寄生沟道效应的NS-FET及其制备方法. CN: CN112349592A, 2021-02-09.
[105] 张青竹, 顾杰, 张兆浩, 殷华湘. 改善寄生沟道效应的NS-FET及其制备方法. CN: CN112349591A, 2021-02-09.
[106] 殷华湘, 徐秋霞, 陈大鹏. 半导体器件及其制造方法. CN: CN112349682A, 2021-02-09.
[107] 李俊杰, 周娜, 李永亮, 王桂磊, 张青竹, 杨涛, 殷华湘, 李俊峰, 王文武. 内侧墙的刻蚀方法、刻蚀气体及纳米线器件的制备方法. CN: CN110938434B, 2021-02-02.
[108] 刘金彪, 张青竹, 殷华湘, 李俊峰, 王垚. 一种堆叠MOS器件及其制备方法. CN: CN112151616A, 2020-12-29.
[109] 李亭亭, 项金娟, 张青竹, 王晓磊, 贺晓彬, 唐波, 殷华湘, 李俊峰. 感光半导体结构及其感光波段调节方法、组成的光电器件. CN: CN112151638A, 2020-12-29.
[110] 赵治国. 半导体器件制造方法. CN: CN106504983B, 2020-12-22.
[111] 颜刚平, 许高博, 毕津顺, 习凯, 李博, 殷华湘, 王文武. 一种绝缘体上半导体结构及其抗总剂量辐照加固方法. CN: CN112086516A, 2020-12-15.
[112] 张青竹, 殷华湘, 闫江, 吴振华",null,null,null,"张永奎. 半导体器件及其制作方法. CN: CN107068769B, 2020-12-08.
[113] 贺晓彬, 张青竹, 殷华湘, 李俊峰, 刘金彪, 李亭亭. 电子束正胶的曝光方法. CN: CN111999987A, 2020-11-27.
[114] 贺晓彬, 张青竹, 殷华湘, 李俊峰, 李亭亭, 刘金彪. 光刻胶的涂胶方法. CN: CN111905988A, 2020-11-10.
[115] 贺晓彬, 张青竹, 殷华湘, 李俊峰, 李亭亭, 刘金彪. 高粘度光刻胶的涂胶方法. CN: CN111905989A, 2020-11-10.
[116] 申靖浩, 李俊杰, 周娜, 殷华湘. DRAM存储单元及其制造方法、存储单元阵列、芯片. CN: CN111883531A, 2020-11-03.
[117] 殷华湘, 钟汇才, 朱慧珑. 半导体器件及其制造方法. CN: CN107887428B, 2020-10-30.
[118] 殷华湘, 贾云丛, 袁烽, 陈大鹏. 半导体器件. JP: CN105895145B, 2020-10-27.
[119] YIN, Huaxiang, YAO, Jiaxin, ZHANG, Qingzhu, ZHANG, Zhaohao, YE, Tianchun. Method For Forming Gate-All-Around Nanowire Device. CN: US20200335596(A1), 2020-10-22.
[120] 殷华湘, 许高博, 翟琼华, 傅剑宇. X射线阵列传感器、探测器及其制作方法. CN: CN111799351A, 2020-10-20.
[121] YIN, Huaxiang, ZHANG, Qingzhu, ZHANG, Zhaohao, YE, Tianchun. NEGATIVE CAPACITANCE FIELD EFFECT TRANSISTOR AND METHOD FOR MANUFACTURING THE SAME. CN: US20200328309(A1), 2020-10-15.
[122] 梁擎擎, 殷华湘, 钟汇才, 朱慧珑. 半导体器件及其制造方法. KR: CN106057872B, 2020-08-28.
[123] 裴俊值, 杨涛, 高建峰, 殷华湘. 一种半导体结构及其形成方法、半导体器件、芯片. CN: CN111430324A, 2020-07-17.
[124] 殷华湘. 半导体器件及其制造方法. CN: CN105405881B, 2020-07-07.
[125] 李俊杰, 李晨, 朱慧珑, 周娜, 王桂磊, 李永亮, 李俊峰, 殷华湘, 王文武. 一种刻蚀方法及系统、刻蚀控制装置、电子器件及设备. CN: CN111370308A, 2020-07-03.
[126] 高建峰, 刘卫兵, 李俊杰, 杨涛, 殷华湘, 李俊峰, 王文武. 衬底键合方法、三维集成基板及电路、电子设备和芯片. CN: CN111370321A, 2020-07-03.
[127] YIN, Huaxiang, ZHANG, Qingzhu, LIN, Xiang. MULTILAYER MOS DEVICE AND METHOD FOR MANUFACTURING THE SAME. CN: US20200211910(A1), 2020-07-02.
[128] 李永亮, 程晓红, 张青竹, 殷华湘, 王文武. 一种堆叠纳米线或片环栅器件及其制备方法. CN: CN111312819A, 2020-06-19.
[129] 顾杰, 姚佳欣, 张青竹, 徐忍忍, 殷华湘. 半导体器件及其制作方法、集成电路、电子设备和芯片. CN: CN111244184A, 2020-06-05.
[130] 顾杰, 张青竹, 张兆浩, 殷华湘. 一种半导体器件及其制作方法、集成电路和电子设备. CN: CN111243955A, 2020-06-05.
[131] 殷华湘, 张青竹, 张兆浩. 一种存储器件、存储器及其制作方法、电子设备和芯片. CN: CN111162120A, 2020-05-15.
[132] 殷华湘, 林翔, 罗彦娜, 刘占峰. 一种片上单晶材料的制备方法. CN: CN111146141A, 2020-05-12.
[133] 殷华湘, 林翔, 罗彦娜, 刘占峰. 一种片上单晶半导体材料的制备方法. CN: CN111128695A, 2020-05-08.
[134] 王桂磊, 亨利·H·阿达姆松, 孔真真, 李俊峰, 殷华湘, 王文武. 一种纳米线及其制作方法. CN: CN111128676A, 2020-05-08.
[135] 李俊杰, 周娜, 李永亮, 王桂磊, 杨涛, 殷华湘, 李俊峰, 王文武. 一种微纳通孔的制备方法及具有微纳通孔的结构. CN: CN111115561A, 2020-05-08.
[136] 李俊杰, 李永亮, 周娜, 王桂磊, 殷华湘, 王文武, 李俊峰, 王文武. 一种鳍状结构、半导体器件及其制备方法. CN: CN111029258A, 2020-04-17.
[137] 王桂磊, 亨利·H·阿达姆松, 孔真真, 李俊杰, 李俊峰, 殷华湘, 王文武. 一种半导体器件纳米线及其制备方法. CN: CN110896027A, 2020-03-20.
[138] 李永亮, 程晓红, 罗军, 殷华湘, 王文武. 一种鳍状结构及半导体器件的制备方法. CN: CN110896034A, 2020-03-20.
[139] 殷华湘, 陈大鹏. 半导体器件及其制造方法. CN: CN107785273B, 2020-03-13.
[140] 殷华湘, 林翔, 罗彦娜, 刘占峰. 一种三维静态随机存取存储器及其制备方法. CN: CN110854117A, 2020-02-28.
[141] 李永亮, 程晓红, 张青竹, 殷华湘, 王文武. 一种鳍状结构及半导体器件的制备方法. CN: CN110752155A, 2020-02-04.
[142] 殷华湘, 狄增峰, 卞建涛, 叶甜春, 魏星, 张苗, 王曦. 一种CMOS器件及其制作方法. CN: CN107464783B, 2020-01-31.
[143] 许高博, 殷华湘, 徐秋霞. 隧穿场效应晶体管及其制造方法. 中国: CN106558609B, 2020-01-10.
[144] 许高博, 殷华湘. 隧穿场效应晶体管及其制造方法. CN: CN106504989B, 2020-01-10.
[145] 张兆浩, 张青竹, 蔡豫威, 殷华湘. 应变技术增强负电容器件的结构及制作方法和电子设备. CN: CN110620151A, 2019-12-27.
[146] 赵治国, 殷华湘, 朱慧珑, 赵超. 一种半导体器件及其制造方法. CN: CN110534581A, 2019-12-03.
[147] 张青竹, 张兆浩, 魏千惠, 屠海令, 殷华湘, 魏峰, 赵鸿滨, 王文武. 一种稀土掺杂铪基铁电材料、制备方法及半导体器件. CN: CN110527978A, 2019-12-03.
[148] 张严波, 殷华湘, 朱慧珑. 半导体器件及其制造方法. CN: CN103367403B, 2019-10-08.
[149] 殷华湘. 一种3D NAND存储器及其制备方法. CN: CN110299362A, 2019-10-01.
[150] 秦长亮, 殷华湘, 马小龙, 李俊峰, 赵超. 半导体器件及其制造方法. JP: CN110299292A, 2019-10-01.
[151] 朱正勇, 毛淑娟. 半导体器件及其制作方法. CN: CN106549016B, 2019-09-24.
[152] 殷华湘, 叶甜春, 张青竹, 姚佳欣. 堆叠式环栅纳米片CMOS器件结构及其制造方法. CN: CN110246806A, 2019-09-17.
[153] 叶甜春, 殷华湘, 张青竹, 姚佳欣. 一种围栅器件及其制造方法. CN: CN110233108A, 2019-09-13.
[154] 殷华湘, 张青竹, 张兆浩, 姚佳欣. 堆叠纳米片环栅晶体管及其制备方法. CN: CN110189997A, 2019-08-30.
[155] 李俊杰, 王桂磊, 李永亮, 周娜, 杨涛, 傅剑宇, 李俊峰, 殷华湘, 朱慧珑, 王文武. 一种微电极结构及其制作方法及包括该器件的电子设备. CN: CN110174453A, 2019-08-27.
[156] 李俊杰, 李永亮, 周娜, 杨涛, 张青竹, 王桂磊, 李俊峰, 吴振华, 殷华湘, 朱慧珑, 王文武. 纳米线、纳米线围栅器件以及纳米孔筛的制备方法. CN: CN110164762A, 2019-08-23.
[157] 李俊杰, 王桂磊, 李永亮, 周娜, 杨涛, 傅剑宇, 李俊峰, 殷华湘, 朱慧珑, 王文武. 三维固态电容器的制造方法、三维固态电容器及电子设备. CN: CN110164851A, 2019-08-23.
[158] 殷华湘, 贾云丛, 袁烽, 陈大鹏. 一种X射线传感器及其制造方法. CN: CN110137199A, 2019-08-16.
[159] 姚佳欣, 殷华湘. 垂直纳米线晶体管及其形成方法. CN: CN110120418A, 2019-08-13.
[160] 李俊杰, 王文武, 吴立冬, 李永亮, 张青竹, 殷华湘, 杨涛, 傅剑宇, 李俊峰. 一种微电极及其形成方法. CN: CN110104609A, 2019-08-09.
[161] 吴振华, 李俊杰, 郭鸿, 甘维卓, 殷华湘, 朱慧珑, 王文武. 半导体器件和制作方法. CN: CN110061060A, 2019-07-26.
[162] 吴振华, 李俊杰, 郭鸿, 甘维卓",null,null,"王文武. 半导体器件和制作方法. CN: CN110061060A, 2019-07-26.
[163] 殷华湘, 侯朝昭, 叶甜春, 李超雷. 三维垂直单晶体管铁电存储器及其制备方法. CN: CN110047844A, 2019-07-23.
[164] 殷华湘, 张永奎, 朱慧珑. 半导体器件及其制造方法. CN: CN110047908A, 2019-07-23.
[165] 殷华湘, 张青竹, 张兆浩, 姚佳欣, 叶甜春. 一种纳米线围栅器件的形成方法. CN: CN110034015A, 2019-07-19.
[166] 殷华湘, 张青竹, 张青竹, 叶甜春. 负电容场效应晶体管及其制备方法. CN: CN110034190A, 2019-07-19.
[167] 殷华湘, 姚佳欣, 张青竹, 李超雷, 张兆浩. 负电容场效应晶体管及其制备方法. CN: CN110034190A, 2019-07-19.
[168] 王桂磊, 崔虎山, 殷华湘, 李俊峰, 朱慧珑, 赵超. 半导体器件及其制造方法. TW: CN105280704B, 2019-07-16.
[169] 李俊杰, 王桂磊, 李永亮, 周娜, 杨涛, 傅剑宇, 李俊峰, 吴振华, 殷华湘, 朱慧珑, 王文武. 选择性刻蚀方法及纳米针尖结构的制备方法. CN: CN110002393A, 2019-07-12.
[170] 殷华湘, 张青竹, 张兆浩, 叶甜春. 负电容场效应晶体管及其制备方法. CN: CN109980016A, 2019-07-05.
[171] 殷华湘, 朱慧珑. 半导体器件及其制造方法. CN: CN105336787B, 2019-07-02.
[172] 朱正勇, 毛淑娟, 殷华湘. 半导体器件及其制作方法. CN: CN109935568A, 2019-06-25.
[173] 甘维卓, 张永奎, 李俊杰, 吴振华, 郭鸿, 殷华湘, 朱慧珑, 王文武. 冷源结构MOS晶体管及其制作方法. CN: CN109920842A, 2019-06-21.
[174] 李俊杰, 李永亮, 周娜, 张青竹, 王桂磊, 李俊峰, 殷华湘, 朱慧珑, 王文武. 一种纳米线围栅器件及其制造方法. CN: CN109904234A, 2019-06-18.
[175] 张兆浩, 张青竹, 顾杰, 殷华湘. 纳米结构的制备方法. CN: CN109904062A, 2019-06-18.
[176] 徐唯佳, 马小龙, 殷华湘, 许淼, 朱慧珑. 全包围栅场效应晶体管及其制造方法. CN: CN109904074A, 2019-06-18.
[177] 王桂磊. 一种鳍式场效应晶体管及其制备方法. CN: CN106206318B, 2019-06-11.
[178] 殷华湘, 徐秋霞, 陈大鹏. 半导体器件及其制造方法. TW: CN109860275A, 2019-06-07.
[179] 姚佳欣, 殷华湘. 垂直纳米线晶体管及其形成方法. CN: CN109841675A, 2019-06-04.
[180] 殷华湘, 张青竹, 林翔. 多层MOS器件及其制备方法. CN: CN109830463A, 2019-05-31.
[181] 秦长亮, 尹海洲, 殷华湘. 半导体器件制造方法. KR: CN109801845A, 2019-05-24.
[182] 秦长亮, 殷华湘, 马小龙. 半导体器件及其制造方法. CN: CN105762191B, 2019-05-21.
[183] 侯朝昭, 殷华湘. 电荷俘获型存储器和其制作方法. CN: CN109727992A, 2019-05-07.
[184] 张利斌, 韦亚一. 一种半导体器件的制造方法. CN: CN106252229B, 2019-04-23.
[185] 秦长亮, 殷华湘, 李俊峰, 赵超. 半导体器件及其制造方法. CN: CN105762190B, 2019-04-19.
[186] 殷华湘. 半导体器件及其制造方法. CN: CN103839816B, 2019-04-19.
[187] 顾杰, 殷华湘, 侯朝昭, 姚佳欣. 一种半导体器件及其形成方法. CN: CN109585479A, 2019-04-05.
[188] 侯朝昭, 殷华湘. 具有垂直沟道的场效应晶体管及其制备方法. CN: CN109473358A, 2019-03-15.
[189] 秦长亮, 尹海洲, 王桂磊, 殷华湘, 李俊峰, 赵超. 半导体器件制造方法. CN: CN109461656A, 2019-03-12.
[190] 殷华湘, 杨红, 张严波. CMOS器件及其制造方法. 中国: CN105470256B, 2019-02-01.
[191] 王桂磊, 殷华湘, 赵超. 半导体器件制造方法. CN: CN105336569B, 2019-01-18.
[192] 殷华湘, 陈率, 朱慧珑. 半导体器件及其制造方法. CN: CN104112667B, 2019-01-18.
[193] 顾杰. 一种半导体器件及其形成方法. CN: CN109216463A, 2019-01-15.
[194] 殷华湘, 潘宇. 一种半导体器件及其制造方法. CN: CN109192721A, 2019-01-11.
[195] 殷华湘. 一种半导体器件及其制造方法. CN: CN109192721A, 2019-01-11.
[196] 朱正勇, 毛淑娟, 殷华湘. 半导体器件及其制作方法. CN: CN109148362A, 2019-01-04.
[197] 殷华湘, 罗军, 陈率, 朱慧珑. 半导体制造方法. 中国: CN104217947B, 2018.11.06.
[198] 殷华湘, 付作振, 徐秋霞, 赵超, 陈大鹏. 半导体器件及其制造方法. JP: CN108878427A, 2018-11-23.
[199] 高建峰, 李俊峰, 殷华湘, 赵超, 王文武. 一种探测器、热敏电阻、氧化钒薄膜及其制造方法. CN: CN108807346A, 2018-11-13.
[200] 张青竹, 殷华湘, 闫江, 吴振华, 周章渝, 秦长亮, 张严波, 张永奎. 半导体器件及其制作方法. CN: CN108735608A, 2018-11-02.
[201] 张青竹, 张兆浩, 殷华湘, 李俊杰, 徐忍忍. 环栅纳米线场效应晶体管及其制备方法. CN: CN108565218A, 2018-09-21.
[202] 张兆浩, 张青竹, 殷华湘, 徐忍忍. 光电探测器与其制作方法. CN: CN108565311A, 2018-09-21.
[203] 殷华湘, 张永奎, 赵治国. 半导体器件及其制造方法. CN: CN105489652B, 2018-09-18.
[204] 殷华湘. 半导体器件及其制造方法. CN: CN105470286B, 2018-09-18.
[205] 殷华湘, 姚佳欣, 王文武, 叶甜春. CMOS器件及调节CMOS器件阈值的方法. CN: CN108511392A, 2018-09-07.
[206] 殷华湘, 潘宇, 张兆浩, 许高博. 半导体器件与其制作方法. CN: CN108493246A, 2018-09-04.
[207] 殷华湘, 姚佳欣, 王文武, 叶甜春. CMOS器件及调节CMOS器件阈值的方法. CN: CN108493157A, 2018-09-04.
[208] 殷华湘, 侯朝昭, 李春龙. 一种3D NAND存储器及其制备方法. CN: CN108461498A, 2018-08-28.
[209] 侯朝昭, 殷华湘. 3D NAND存储器及其制造方法. CN: CN108447870A, 2018-08-24.
[210] 殷华湘, 张青竹, 张兆浩, 许高博. 垂直纳米线晶体管与其制作方法. CN: CN108428634A, 2018-08-21.
[211] 殷华湘, 罗军, 王文武, 叶甜春. CMOS器件及其制备方法. CN: CN108428667A, 2018-08-21.
[212] 殷华湘, 顾杰, 张青竹, 许高博, 吴振华. 量子点器件及其制作方法. CN: CN108417635A, 2018-08-17.
[213] 许高博, 殷华湘, 徐秋霞. 隧穿场效应晶体管及其制造方法. CN: CN108369960A, 2018-08-03.
[214] 殷华湘, 朱慧珑. 半导体器件制造方法. 中国: CN103839820B, 2018-07-31.
[215] 马小龙, 殷华湘, 秦长亮. 堆叠纳米线制造方法. 中国: CN103915316B, 2018-07-27.
[216] 殷华湘, 闫江, 陈大鹏. 半导体器件及其制造方法. CN: CN103579314B, 2018-07-27.
[217] 殷华湘, 张青竹, 张兆浩, 徐忍忍. 生化传感器与其制作方法. CN: CN108318533A, 2018-07-24.
[218] 张青竹, 张兆浩, 殷华湘, 徐忍忍. 鳍型场效应晶体管及其制备方法. CN: CN108288646A, 2018-07-17.
[219] 张青竹, 殷华湘, 张兆浩, 李俊杰, 徐忍忍. 环栅纳米线场效应晶体管及其制备方法. CN: CN108288647A, 2018-07-17.
[220] 张青竹, 张兆浩, 殷华湘. 隧穿场效应晶体管及其制备方法. CN: CN108288642A, 2018-07-17.
[221] 殷华湘, 秦长亮, 马小龙, 王桂磊, 朱慧珑. 鳍式场效应晶体管及其制造方法. CN: CN105575804B, 2018-07-13.
[222] 翟琼华, 殷华湘, 贾云丛, 李贞杰. 硅漂移探测器. CN: CN108281506A, 2018-07-13.
[223] 张青竹, 张兆浩, 殷华湘, 徐忍忍. 隧穿场效应晶体管及其制备方法. CN: CN108258048A, 2018-07-06.
[224] 张青竹, 张兆浩, 殷华湘, 徐忍忍. 光电探测器与其制作方法. CN: CN108257946A, 2018-07-06.
[225] 张青竹, 殷华湘, 张兆浩, 李俊杰, 徐忍忍. 环栅纳米线场效应晶体管及其制备方法. CN: CN108231584A, 2018-06-29.
[226] 殷华湘, 钟汇才, 朱慧珑. 半导体器件及其制造方法. CN: CN104124198B, 2018-06-22.
[227] 侯朝昭, 殷华湘. 存储器及其制作方法. CN: CN108074933A, 2018-05-25.
[228] 王桂磊, 崔虎山, 叶甜春, 秦长亮, 李俊峰, 赵超. 一种鳍式场效应晶体管及其制备方法. CN: CN107968122A, 2018-04-27.
[229] 张青竹, 徐忍忍, 殷华湘, 张兆浩. 隧穿场效应晶体管及其制备方法. CN: CN107924941A, 2018-04-17.
[230] 殷华湘, 贾云丛, 袁烽, 陈大鹏. 半导体器件. JP: CN107887448A, 2018-04-06.
[231] 殷华湘, 徐秋霞, 许高博, 孟令款, 杨涛, 陈大鹏. 半导体器件的制造方法. JP: CN107845605A, 2018-03-27.
[232] 殷华湘, 秦长亮, 马小龙, 陈大鹏. 半导体器件及其制造方法. TW: CN107785281A, 2018-03-09.
[233] 秦长亮, 殷华湘, 李俊峰, 赵超. 半导体器件及其制造方法. CN: CN107785420A, 2018-03-09.
[234] 马小龙, 殷华湘, 周华杰, 徐秋霞, 赵恒亮, 许淼, 朱慧珑. 堆叠纳米线制造方法. 中国: CN103915324B, 2018-02-13.
[235] 秦长亮, 尹海洲, 殷华湘, 洪培真, 王桂磊, 赵超. 半导体器件制造方法. CN: CN103730345B, 2018-02-13.
[236] 秦长亮, 殷华湘. 半导体器件制造方法. CN: CN103545208B, 2018-02-13.
[237] 殷华湘, 姚佳欣, 赵超, 叶甜春. 一种CMOS器件及其制作方法. CN: CN107564864A, 2018-01-09.
[238] 殷华湘, 姚佳欣, 赵超, 叶甜春. 一种CMOS器件及其制作方法. CN: CN107481971A, 2017-12-15.
[239] 徐唯佳, 殷华湘, 马小龙, 许淼. 半导体器件制造方法. CN: CN103730369B, 2017-12-12.
[240] 秦长亮. 半导体器件制造方法. CN: CN103594420B, 2017-12-12.
[241] 殷华湘, 姚佳欣, 赵超, 叶甜春. 一种CMOS器件及其制作方法. CN: CN107464783A, 2017-12-12.
[242] 秦长亮, 尹海洲, 殷华湘, 洪培真, 王桂磊, 赵超. 半导体器件制造方法. 中国: CN103855003B, 2017-11-21.
[243] 殷华湘, 姚佳欣, 叶甜春, 赵超. 一种CMOS器件及其制造方法. CN: CN107316837A, 2017-11-03.
[244] 殷华湘, 姚佳欣, 叶甜春, 赵超. 一种CMOS器件及其制造方法. CN: CN107221513A, 2017-09-29.
[245] 叶甜春, 张青竹, 张青竹, 叶甜春. 一种调节CMOS器件阈值的方法及CMOS器件. CN: CN107195585A, 2017-09-22.
[246] 叶甜春, 张青竹, 张青竹, 叶甜春. 一种调节CMOS器件阈值的方法及CMOS器件. CN: CN107195631A, 2017-09-22.
[247] 殷华湘, 张青竹, 赵超, 叶甜春. 一种调节高K金属栅CMOS器件阈值的方法. CN: CN107180793A, 2017-09-19.
[248] 殷华湘, 张青竹, 赵超, 叶甜春. 一种调节高K金属栅CMOS器件阈值的方法和CMOS器件. CN: CN107180794A, 2017-09-19.
[249] 殷华湘, 张青竹, 赵超, 叶甜春. 一种调节高K金属栅CMOS器件阈值的方法和CMOS器件. CN: CN107180794A, 2017-09-19.
[250] 殷华湘, 梁擎擎, 马小龙. 半导体器件制造方法. CN: CN105819395B, 2017-09-05.
[251] 马小龙, 殷华湘, 许淼, 朱慧珑. 半导体器件及其制造方法. CN: CN103594512B, 2017-09-05.
[252] 张青竹, 殷华湘",null,"吴振华",null,null,null,"张永奎. 半导体器件及其制作方法. CN: CN107068769A, 2017-08-18.
[253] 殷华湘, 秦长亮, 王桂磊, 朱慧珑. 半导体器件及其制造方法. TW: CN104347502B, 2017-08-15.
[254] 殷华湘, 秦长亮, 马小龙, 徐秋霞, 陈大鹏. 半导体器件及其制造方法. JP: CN107046057A, 2017-08-15.
[255] 秦长亮, 殷华湘, 李俊峰, 赵超, 刘实. 一种垂直MOSFET及其制造方法. CN: CN107017306A, 2017-08-04.
[256] 秦长亮, 殷华湘, 李俊峰, 赵超, 刘实. 一种垂直TFET及其制造方法. CN: CN106981422A, 2017-07-25.
[257] 钟汇才, 罗军, 殷华湘, 朱慧珑. 半导体结构及其制造方法. CN: CN106952908A, 2017-07-14.
[258] 殷华湘. 半导体器件及其制造方法. CN: CN103681329B, 2017-07-11.
[259] 徐唯佳, 马小龙, 殷华湘, 周华杰, 徐秋霞. 半导体器件制造方法. JP: CN102683172B, 2017-05-17.
[260] 殷华湘, 王玉光, 董立军, 陈大鹏. X射线探测器及其制造方法. CN: CN106653789A, 2017-05-10.
[261] 王桂磊, 张严波, 殷华湘, 李俊峰, 赵超. 一种调节鳍体形貌的方法. CN: CN106611706A, 2017-05-03.
[262] 殷华湘, 朱慧珑, 钟汇才. 半导体器件及其制造方法. TW: CN106601623A, 2017-04-26.
[263] 张青竹. 半导体器件制造方法. CN: CN106601617A, 2017-04-26.
[264] 秦长亮, 殷华湘, 赵超. 半导体器件制造方法. 中国: CN106601810A, 2017-04-26.
[265] 张青竹, 殷华湘, 闫江. 半导体器件制造方法. CN: CN106601810A, 2017-04-26.
[266] 秦长亮, 殷华湘. 半导体器件制造方法. CN: CN106601604A, 2017-04-26.
[267] 赵治国, 殷华湘, 朱慧珑, 赵超. 一种半导体器件及其制造方法. CN: CN106601793A, 2017-04-26.
[268] 赵治国. 一种半导体器件及其制造方法. CN: CN106601793A, 2017-04-26.
[269] 张永奎, 殷华湘, 朱慧珑, 钟健. 一种形成小间距鳍体的方法. CN: CN106601610A, 2017-04-26.
[270] 秦长亮, 殷华湘, 赵超. 半导体器件制造方法. CN: CN106601796A, 2017-04-26.
[271] 杨红, 王文武, 赵超, 殷华湘. 半导体晶体管金属栅的集成工艺方法. CN: CN106601674A, 2017-04-26.
[272] 秦长亮, 殷华湘. 半导体器件制造方法. CN: CN106558496A, 2017-04-05.
[273] 秦长亮, 殷华湘. 半导体器件制造方法. CN: CN106558544A, 2017-04-05.
[274] 秦长亮. 半导体器件制造方法. CN: CN106558497A, 2017-04-05.
[275] 殷华湘, 秦长亮, 王桂磊, 邓震, 朱慧珑. CMOS制作方法. CN: CN106558554A, 2017-04-05.
[276] 张严波, 殷华湘, 朱慧珑, 赵超. 一种P型鳍式场效应晶体管及制造方法. CN: CN106558612A, 2017-04-05.
[277] 殷华湘, 秦长亮, 张青竹, 赵治国, 邓震, 朱慧珑. CMOS制作方法. CN: CN106558552A, 2017-04-05.
[278] 殷华湘, 秦长亮, 张青竹, 邓震, 朱慧珑. CMOS制作方法. CN: CN106558553A, 2017-04-05.
[279] 张严波, 殷华湘, 朱慧珑, 赵超. 一种N型鳍式场效应晶体管及制造方法. CN: CN106558613A, 2017-04-05.
[280] 赵治国, 殷华湘, 朱慧珑, 赵超. 一种鳍及半导体器件的制造方法. CN: CN106558492A, 2017-04-05.
[281] 许高博, 殷华湘. 半导体器件制造方法. CN: CN106558481A, 2017-04-05.
[282] 许高博. 半导体器件制造方法. CN: CN106558481A, 2017-04-05.
[283] 殷华湘, 徐秋霞, 陈大鹏. 半导体器件及其制造方法. TW: CN106549028A, 2017-03-29.
[284] 秦长亮, 殷华湘. 半导体器件制造方法. CN: CN106549043A, 2017-03-29.
[285] 秦长亮, 殷华湘, 赵超. 半导体器件制造方法. CN: CN106549058A, 2017-03-29.
[286] 殷华湘, 秦长亮, 侯朝昭. FET及其制作方法. CN: CN106549055A, 2017-03-29.
[287] 殷华湘, 秦长亮, 侯朝昭. FET及其制作方法. CN: CN106549054A, 2017-03-29.
[288] 赵治国, 殷华湘, 朱慧珑, 赵超. 一种形成自对准接触部的方法. CN: CN106531684A, 2017-03-22.
[289] 殷华湘, 马小龙, 秦长亮, 朱慧珑, 陈大鹏. 堆叠纳米线MOS晶体管制作方法. CN: CN106531632A, 2017-03-22.
[290] 张青竹, 殷华湘, 闫江. 一种形成鳍的方法及结构. CN: CN106531631A, 2017-03-22.
[291] 赵治国, 殷华湘, 朱慧珑, 赵超. 一种形成绝缘体上鳍的方法. CN: CN106531792A, 2017-03-22.
[292] 罗军, 殷华湘, 钟汇才, 李俊峰, 陈大鹏. 半导体器件制造方法. CN: CN106504983A, 2017-03-15.
[293] 赵治国, 殷华湘, 朱慧珑, 赵超. 半导体器件制造方法. 中国: CN106504983A, 2017-03-15.
[294] 马小龙, 殷华湘, 许淼, 朱慧珑. 半导体器件. CN: CN103594506B, 2017-03-08.
[295] 殷华湘. 一种X射线传感器及其制造方法. CN: CN106486501A, 2017-03-08.
[296] 殷华湘, 贾云丛, 袁烽, 陈大鹏. 一种X射线传感器及其制造方法. CN: CN106486502A, 2017-03-08.
[297] 张严波, 殷华湘, 朱慧珑. 一种半导体器件及其形成方法. CN: CN102891135B, 2017-02-22.
[298] 高建峰, 唐兆云, 殷华湘, 李俊峰, 赵超. 一种金属薄膜溅射的PVD设备及工艺. CN: CN106319460A, 2017-01-11.
[299] 殷华湘, 贾云丛, 袁烽, 陈大鹏. 一种X射线传感器及其制造方法. CN: CN106328661A, 2017-01-11.
[300] 殷华湘, 秦长亮, 王桂磊, 朱慧珑. 鳍式场效应晶体管及其制造方法. 中国: CN105575807A, 2016.05.11.
[301] 张利斌, 韦亚一. 一种半导体器件的制造方法. CN: CN106252229A, 2016-12-21.
[302] 殷华湘, 秦长亮, 马小龙. 半导体器件及其制造方法. CN: CN103456782B, 2016-12-14.
[303] 王桂磊, 崔虎山, 殷华湘, 秦长亮, 李俊峰. 一种鳍式场效应晶体管及其制备方法. CN: CN106206318A, 2016-12-07.
[304] 付作振, 马小龙, 殷华湘. 半导体器件测试方法. CN: CN106206343A, 2016-12-07.
[305] 殷华湘, 马小龙, 徐唯佳, 徐秋霞, 朱慧珑. finFET器件及其制作方法. CN: CN106158641A, 2016-11-23.
[306] 张青竹, 赵利川, 杨雄锟. 一种金属硅化物的形成方法. CN: CN106033718A, 2016-10-19.
[307] 贾云丛, 殷华湘, 袁烽. 一种封装方法. CN: CN105990164A, 2016-10-05.
[308] 张青竹, 殷华湘, 闫江, 李俊峰, 杨涛, 刘金彪, 徐秋霞. 一种栅极及其形成方法. CN: CN105990403A, 2016-10-05.
[309] 赵利川, 马小龙, 殷华湘, 闫江. 一种鳍的形成方法. CN: CN105870014A, 2016-08-17.
[310] 王桂磊, 崔虎山, 殷华湘, 李俊峰, 赵超. 一种FinFet器件源漏外延设备及方法. CN: CN105870036A, 2016-08-17.
[311] 徐唯佳, 马小龙, 殷华湘, 许淼, 朱慧珑. 全包围栅场效应晶体管及其制造方法. CN: CN105845726A, 2016-08-10.
[312] 秦长亮, 殷华湘. 半导体器件制造方法. CN: CN105826382A, 2016-08-03.
[313] 高建峰, 白国斌, 殷华湘, 李俊峰, 赵超. 一种后栅工艺MOS器件的制备方法. CN: CN105810588A, 2016-07-27.
[314] 殷华湘. 半导体器件. CN: CN105789365A, 2016-07-20.
[315] 殷华湘, 贾云丛, 袁烽. 半导体器件. CN: CN105789368A, 2016-07-20.
[316] 徐唯佳, 马小龙, 殷华湘. 半导体器件制造方法. CN: CN105789048A, 2016-07-20.
[317] 殷华湘, 贾云丛. 半导体器件. CN: CN105789365A, 2016-07-20.
[318] 秦长亮, 殷华湘, 李俊峰, 赵超. 半导体器件及其制造方法. CN: CN105762190A, 2016-07-13.
[319] 秦长亮, 殷华湘. 半导体器件及其制造方法. CN: CN105762191A, 2016-07-13.
[320] 赵治国, 朱慧珑, 殷华湘, 钟汇才. 鳍式场效应晶体管及其鳍的制造方法. CN: CN105762071A, 2016-07-13.
[321] 洪培真, 徐秋霞, 殷华湘, 李俊峰, 赵超. 形成纳米线阵列的方法. CN: CN105742239A, 2016-07-06.
[322] 洪培真, 徐秋霞, 殷华湘, 李俊峰, 赵超. 形成纳米线阵列的方法. CN: CN105742231A, 2016-07-06.
[323] 洪培真, 徐秋霞, 殷华湘, 李俊峰, 赵超. 形成纳米线阵列的方法. CN: CN105742232A, 2016-07-06.
[324] 洪培真, 殷华湘, 徐唯佳, 马小龙, 徐秋霞, 李俊峰, 赵超. 形成级联纳米线的方法. CN: CN105742153A, 2016-07-06.
[325] 洪培真, 徐秋霞, 殷华湘, 李俊峰, 赵超. 形成纳米线阵列的方法. CN: CN105742175A, 2016-07-06.
[326] 张严波, 殷华湘, 朱慧珑. 半导体器件及其制造方法. CN: CN105742352A, 2016-07-06.
[327] 秦长亮, 殷华湘. 半导体器件制造方法. CN: CN105742228A, 2016-07-06.
[328] 秦长亮, 殷华湘, 赵超. 半导体器件制造方法. CN: CN105742226A, 2016-07-06.
[329] 殷华湘, 徐秋霞, 陈大鹏. 半导体结构及其制造方法. CN: CN103383962B, 2016-06-29.
[330] 马小龙, 秦长亮, 殷华湘, 付作振. 堆叠纳米线制造方法. CN: CN105719961A, 2016-06-29.
[331] 殷华湘, 任哲, 徐秋霞, 陈大鹏. 半导体器件制造方法. CN: CN105702575A, 2016-06-22.
[332] 秦长亮, 殷华湘, 李俊峰, 赵超. 鳍上外延沟道、鳍式场效应晶体管的制造方法. CN: CN105702579A, 2016-06-22.
[333] 秦长亮, 殷华湘, 李俊峰, 赵超. 鳍式场效应晶体管及其源漏区的制造方法. CN: CN105702580A, 2016-06-22.
[334] 杨君, 殷华湘. 一种硅基像素探测器电路及其形成方法. CN: CN105702748A, 2016-06-22.
[335] 秦长亮, 殷华湘, 李俊峰, 赵超. PTSL工艺方法、鳍式场效应晶体管的制造方法. CN: CN105679659A, 2016-06-15.
[336] 殷华湘, 秦长亮, 马小龙, 王桂磊, 朱慧珑. 鳍式场效应晶体管及其制造方法. CN: CN105679824A, 2016-06-15.
[337] 王桂磊, 崔虎山, 殷华湘, 李俊峰, 赵超. 一种FinFet器件源漏外延前自然氧化层的去除方法. CN: CN105632888A, 2016-06-01.
[338] 王桂磊, 崔虎山, 殷华湘, 李俊峰, 赵超. 一种FinFet器件源漏外延前自然氧化层的去除方法. CN: CN105632918A, 2016-06-01.
[339] 秦长亮, 殷华湘, 李俊峰, 赵超. 自对准接触制造方法. CN: CN105633004A, 2016-06-01.
[340] 秦长亮, 殷华湘, 李俊峰, 赵超. 自对准接触制造方法. CN: CN105632921A, 2016-06-01.
[341] 秦长亮, 殷华湘, 李俊峰, 赵超. 自对准接触制造方法. CN: CN105632906A, 2016-06-01.
[342] 钟汇才, 罗军, 殷华湘, 朱慧珑. 侧墙形成方法和包括侧墙的半导体器件. CN: CN105632933A, 2016-06-01.
[343] 马小龙, 殷华湘, 付作振. 半导体器件制造方法. CN: CN105590854A, 2016-05-18.
[344] 殷华湘, 秦长亮, 王桂磊, 朱慧珑. 鳍式场效应晶体管及其制造方法. 中国: CN105575806A, 2016-05-11.
[345] Yin, Huaxiang, Qin, Changliang, Ma, Xiaolong, Wang, Guilei, Zhu, Huilong. Method for manufacturing semiconductor device including doping epitaxial source drain extension regions. US: US9337102(B2), 2016-05-10.
[346] 殷华湘, 杨红. CMOS器件及其制造方法. CN: CN105529327A, 2016-04-27.
[347] 罗军, 殷华湘, 钟汇才, 李俊峰, 陈大鹏. 半导体器件制造方法. CN: CN105489493A, 2016-04-13.
[348] 秦长亮, 殷华湘, 李俊峰, 赵超. 半导体器件制造方法. CN: CN105489555A, 2016-04-13.
[349] 殷华湘, 张永奎. 半导体器件及其制造方法. CN: CN105489651A, 2016-04-13.
[350] 殷华湘, 张永奎. 半导体器件及其制造方法. CN: CN105489652A, 2016-04-13.
[351] 殷华湘. 半导体器件及其制造方法. CN: CN105470286A, 2016-04-06.
[352] 秦长亮, 殷华湘, 李俊峰, 赵超. 半导体器件制造方法. CN: CN105470136A, 2016-04-06.
[353] 殷华湘. 半导体器件制造方法. CN: CN105470135A, 2016-04-06.
[354] 赵治国, 殷华湘, 朱慧珑, 赵超. 半导体器件制造方法. CN: CN105470136A, 2016-04-06.
[355] 秦长亮, 殷华湘, 李俊峰, 赵超. 半导体器件制造方法. CN: CN105470133A, 2016-04-06.
[356] 殷华湘, 杨红, 张青竹, 徐秋霞. CMOS器件及其制造方法. CN: CN105470256A, 2016-04-06.
[357] 李春龙, 闫江, 殷华湘, 赵超. 鳍式场效应晶体管及其鳍的制造方法. CN: CN105448735A, 2016-03-30.
[358] 秦长亮, 殷华湘, 李俊峰, 赵超. 半导体器件制造方法. CN: CN105448812A, 2016-03-30.
[359] 殷华湘, 赵超, 张青竹. CMOS器件及其制造方法. CN: CN105428361A, 2016-03-23.
[360] 秦长亮, 殷华湘, 赵超. 半导体器件制造方法. CN: CN105405764A, 2016-03-16.
[361] 殷华湘, 徐秋霞, 赵超, 陈大鹏. 半导体器件及其制造方法. JP: CN105393363A, 2016-03-09.
[362] 徐强, 熊文娟, 张永奎, 殷华湘. 控制鳍式场效应晶体管器件鳍片尺寸的方法. CN: CN105374682A, 2016-03-02.
[363] 殷华湘, 朱慧珑. 半导体器件及其制造方法. CN: CN105336787A, 2016-02-17.
[364] 崔虎山, 罗军, 刘庆波, 王桂磊, 卢一泓, 杨涛, 殷华湘, 李俊峰, 赵超. 形成金属硅化物的方法及其湿法腐蚀混合液配方. CN: CN105336600A, 2016-02-17.
[365] 洪培真, 殷华湘, 朱慧珑, 刘青, 李俊峰, 赵超, 尹海洲. 鳍式场效应晶体管及其假栅的制造方法. CN: CN105336624A, 2016-02-17.
[366] 秦长亮, 殷华湘, 赵超. 半导体器件制造方法. CN: CN105336599A, 2016-02-17.
[367] 罗军, 殷华湘, 赵超, 钟汇才, 李俊峰, 陈大鹏. 半导体器件制造方法. CN: CN105336569A, 2016-02-17.
[368] 王桂磊, 殷华湘, 赵超. 半导体器件制造方法. 中国: CN105336569A, 2016-02-17.
[369] 殷华湘, 马小龙. MOSFET及其制造方法. CN: CN103066122B, 2016-01-20.
[370] 王桂磊. 半导体器件及其制造方法. CN: CN105244379A, 2016-01-13.
[371] 赵治国, 朱慧珑, 殷华湘, 钟汇才. 一种鳍式场效应晶体管及其制造方法. CN: CN105206529A, 2015-12-30.
[372] 马小龙, 殷华湘, 付作振. 半导体器件及其制造方法. JP: CN105161543A, 2015-12-16.
[373] 张青竹, 殷华湘, 闫江, 吴振华, 周章渝, 秦长亮, 张严波, 张永奎. 半导体器件及其制作方法. CN: CN103311284B, 2015-11-25.
[374] Yin Huaxiang, 张永奎, Zhao Zhiguo, Lu Zhiyong, Zhu Huilong. SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME. JP: US2015236129A1, 2015-08-20.
[375] Yin, Huaxiang, Qin, Changliang, Fu, Zuozhen, Ma, Xiaolong, Chen, Dapeng. METHOD OF MANUFACTURING STACKED NANOWIRE MOS TRANSISTOR. CN: US20150228480(A1), 2015-08-13.
[376] Yin Huaxiang, Qin Changliang, Fu Zuozhen, Ma Xiaolong, Chen Dapeng. METHOD OF MANUFACTURING STACKED NANOWIRE MOS TRANSISTOR. CN: US20150228480A1, 2015-08-13.
[377] 韩锴, 王文武, 王文武, 杨红, 殷华湘. 半导体器件制造方法. CN: CN104766823A, 2015-07-08.
[378] 朱慧珑, 赵治国, 张永奎, 马小龙, 许淼, 殷华湘, 杨红. 半导体设置及其制造方法. CN: CN104716171A, 2015-06-17.
[379] 王桂磊, 崔虎山, 殷华湘, 李俊峰, 赵超. 一种FinFet器件源漏外延设备. CN: CN204391059U, 2015-06-10.
[380] 赵治国, 朱慧珑, 殷华湘. 剖面改善的牺牲栅主体形成方法及半导体器件制造方法. CN: CN104658895A, 2015-05-27.
[381] 崔虎山, 熊文娟, 殷华湘, 罗军, 张永奎, 徐强, 朱慧珑, 赵超. 一种后栅工艺中ILD层的处理方法. CN: CN104637797A, 2015-05-20.
[382] 洪培真, 马小龙, 殷华湘, 徐秋霞, 李俊峰, 赵超. 一种纳米线及阵列的形成方法. CN: CN104609360A, 2015-05-13.
[383] 赵治国, 朱慧珑, 殷华湘. 后栅工艺中伪栅器件及半导体器件的形成方法. CN: CN104576373A, 2015-04-29.
[384] 赵治国, 朱慧珑, 殷华湘. 后栅工艺中伪栅器件及半导体器件的形成方法. CN: CN104576725A, 2015-04-29.
[385] Yin, Huaxiang, Fu, Zuozhen, Xu, Qiuxia, Chen, Dapeng. DUAL-METAL GATE CMOS DEVICES AND METHOD FOR MANUFACTURING THE SAME. CN: US20150102416(A1), 2015-04-16.
[386] 秦长亮, 殷华湘. 半导体器件制造方法. CN: CN104409327A, 2015-03-11.
[387] 殷华湘. 半导体器件制造方法. CN: CN104377168A, 2015-02-25.
[388] 殷华湘, 项金娟, 杨红. 半导体器件制造方法. 中国: CN104377168A, 2015-02-25.
[389] 殷华湘, 项金娟, 杨红. 半导体器件制造方法. CN: CN104377124A, 2015-02-25.
[390] 殷华湘, 秦长亮, 朱慧珑. 半导体制造方法. CN: CN102723272B, 2015-02-25.
[391] 秦长亮, 尹海洲, 殷华湘, 赵超. 一种鳍式场效应晶体管的制造方法. CN: CN104347427A, 2015-02-11.
[392] 秦长亮, 殷华湘, 尹海洲, 王桂磊. 半导体器件制造方法. CN: CN104332399A, 2015-02-04.
[393] Yin, Huaxiang, Liang, Qingqing, Ma, Xiaolong. Methods for manufacturing a MOSFET using a stress liner of diamond-like carbon on the substrate. US: US8936988(B2), 2015-01-20.
[394] 殷华湘, 马小龙, 徐唯佳, 徐秋霞, 朱慧珑. 级联堆叠纳米线MOS晶体管制作方法. CN: CN104282560A, 2015-01-14.
[395] 殷华湘, 马小龙. FinFET器件及其制作方法. CN: CN104282561A, 2015-01-14.
[396] 殷华湘, 马小龙, 徐唯佳, 徐秋霞, 朱慧珑. 堆叠纳米线MOS晶体管及其制作方法. CN: CN104282559A, 2015-01-14.
[397] 朱慧珑, 洪培真, 殷华湘. FinFET及其制造方法. 中国: CN104103517A, 2014.10.15.
[398] 秦长亮. 半导体器件制造方法. CN: CN104253049A, 2014-12-31.
[399] 秦长亮, 殷华湘, 洪培真, 马小龙, 赵超. 堆叠纳米线制造方法. CN: CN104253048A, 2014-12-31.
[400] 殷华湘, 钟汇才, 朱慧珑. 半导体器件及其制造方法. CN: CN104218081A, 2014-12-17.
[401] 殷华湘, 罗军, 陈率. 半导体制造方法. CN: CN104217947A, 2014-12-17.
[402] 殷华湘, 秦长亮, 朱慧珑. 半导体制造方法. CN: CN104217948A, 2014-12-17.
[403] 殷华湘. 半导体器件及其制造方法. CN: CN104217949A, 2014-12-17.
[404] 张兆浩, 张青竹, 顾杰, 殷华湘. 纳米结构的制备方法. CN: CN104192792A, 2014-12-10.
[405] 殷华湘, 朱慧珑. 半导体器件及其制造方法. CN: CN104124164A, 2014-10-29.
[406] 殷华湘. 半导体器件及其制造方法. CN: CN104124198A, 2014-10-29.
[407] 殷华湘, 朱慧珑. 半导体器件及其制造方法. CN: CN104124158A, 2014-10-29.
[408] 秦长亮, 殷华湘, 李俊峰, 赵超. 半导体器件制造方法. CN: CN104124159A, 2014-10-29.
[409] 秦长亮, 徐强, 洪培真, 殷华湘, 尹海洲, 李俊峰, 赵超. 半导体器件制造方法. CN: CN104124160A, 2014-10-29.
[410] 秦长亮. 半导体器件制造方法. CN: CN104124162A, 2014-10-29.
[411] 殷华湘, 赵志国, 朱慧珑. 半导体器件及其制造方法. CN: CN104112668A, 2014-10-22.
[412] 殷华湘, 张永奎, 朱慧珑. 半导体器件及其制造方法. CN: CN104112666A, 2014-10-22.
[413] 殷华湘, 洪培真. 半导体器件及其制造方法. CN: CN104112665A, 2014-10-22.
[414] 秦长亮, 殷华湘, 洪培真. 堆叠纳米线制造方法. CN: CN104078324A, 2014-10-01.
[415] 许高博, 殷华湘, 徐秋霞. 半导体器件制造方法. CN: CN104078363A, 2014-10-01.
[416] Liang Qingqing, Yin Huaxiang, Zhong Huicai, Zhu Huilong. Semiconductor device and method for manufacturing the same. 美国: US8846488(B2), 2014-09-30.
[417] 秦长亮, 殷华湘, 李俊峰, 赵超. 半导体器件制造方法. CN: CN104037085A, 2014-09-10.
[418] Yin Huaxiang, 罗军, Zhao Chao, Liu Honggang, Chen Dapeng. Semiconductor device and manufacturing method thereof. 美国: US8816326(B2), 2014-08-26.
[419] Qin Changliang, Yin Huaxiang. Semiconductor device and method of manufacturing the same. 美国: US8802533(B1), 2014-08-12.
[420] 秦长亮, 殷华湘, 尹海洲. 半导体器件制造方法. CN: CN103972088A, 2014-08-06.
[421] 秦长亮, 殷华湘. 半导体器件制造方法. CN: CN103972087A, 2014-08-06.
[422] Yin Huaxiang, Xu Qiuxia, Chen Dapeng. Semiconductor device and manufacturing method thereof. 美国: US8754482(B2), 2014-06-17.
[423] 秦长亮, 殷华湘. 半导体器件制造方法. CN: CN103855092A, 2014-06-11.
[424] 秦长亮, 殷华湘. 半导体器件制造方法. CN: CN103854978A, 2014-06-11.
[425] Yin Huaxiang, Xu Qiuxia, Cheng Dapeng. Method of introducing strain into channel and device manufactured by using the method. CN: US8748272B2, 2014-06-10.
[426] 殷华湘. 半导体器件及其制造方法. CN: CN103839816A, 2014-06-04.
[427] 殷华湘, 朱慧珑. 半导体器件及其制造方法. CN: CN103839819A, 2014-06-04.
[428] 秦长亮, 尹海洲, 殷华湘. 半导体器件制造方法. CN: CN103794562A, 2014-05-14.
[429] 尹海洲, 朱慧珑, 秦长亮. MOSFET的制造方法. CN: CN103779224A, 2014-05-07.
[430] 尹海洲, 朱慧珑, 秦长亮, 殷华湘. MOSFET的制造方法. CN: CN103779222A, 2014-05-07.
[431] 殷华湘, 闫江, 陈大鹏. CMOS制造方法. CN: CN103779276A, 2014-05-07.
[432] 殷华湘, 闫江, 陈大鹏. CMOS制造方法. CN: CN103779275A, 2014-05-07.
[433] 殷华湘, 秦长亮, 付作振, 马小龙, 陈大鹏. 堆叠纳米线MOS晶体管制作方法. CN: CN103730366A, 2014-04-16.
[434] 秦长亮, 殷华湘. 半导体器件制造方法. CN: CN103730368A, 2014-04-16.
[435] 秦长亮, 殷华湘, 赵超. 半导体器件制造方法. CN: CN103730367A, 2014-04-16.
[436] 秦长亮, 王桂磊, 洪培真, 尹海洲, 殷华湘, 赵超. 半导体器件制造方法. CN: CN103730345A, 2014-04-16.
[437] 秦长亮. 半导体器件制造方法. CN: CN103730341A, 2014-04-16.
[438] 李春龙, 殷华湘, 闫江, 孟令款, 贺晓彬, 陈广璐, 赵超. 半导体器件制造方法. CN: CN103730361A, 2014-04-16.
[439] 秦长亮, 梁擎擎, 殷华湘, 毛淑娟. 半导体器件制造方法. CN: CN103681504A, 2014-03-26.
[440] 张青竹, 赵利川, 杨雄锟, 殷华湘, 闫江, 李俊峰, 杨涛, 刘金彪. 一种金属硅化物的形成方法. CN: CN103681291A, 2014-03-26.
[441] 秦长亮, 尹海洲, 殷华湘. 半导体器件制造方法. CN: CN103681274A, 2014-03-26.
[442] 秦长亮, 洪培真, 尹海洲, 殷华湘, 李俊峰, 赵超. 半导体器件制造方法. JP: CN103632925A, 2014-03-12.
[443] 殷华湘, 贾宬, 朱慧珑. 半导体器件制造方法. CN: CN103632943A, 2014-03-12.
[444] 秦长亮, 殷华湘. 半导体器件制造方法. CN: CN103594372A, 2014-02-19.
[445] 秦长亮. 半导体器件制造方法. CN: CN103594420A, 2014-02-19.
[446] 秦长亮, 殷华湘. 半导体器件制造方法. CN: CN103594373A, 2014-02-19.
[447] 马小龙. 半导体器件制造方法. CN: CN103594419A, 2014-02-19.
[448] 马小龙, 殷华湘. 半导体器件及其制造方法. CN: CN103594496A, 2014-02-19.
[449] 马小龙, 殷华湘, 许淼, 朱慧珑. 半导体器件. CN: CN103594506A, 2014-02-19.
[450] 秦长亮, 殷华湘. 半导体器件制造方法. 中国: CN103578920A, 2014-02-12.
[451] 殷华湘, 秦长亮, 马小龙, 王桂磊, 朱慧珑. 半导体器件制造方法. CN: CN103578952A, 2014-02-12.
[452] 秦长亮, 梁擎擎, 殷华湘, 毛淑娟. 半导体器件制造方法. CN: CN103578920A, 2014-02-12.
[453] 殷华湘, 闫江, 陈大鹏. 半导体器件及其制造方法. CN: CN103579314A, 2014-02-12.
[454] 杨红, 马雪丽, 王文武, 韩锴, 王晓磊, 殷华湘, 闫江. 栅极结构的形成方法、半导体器件的形成方法以及半导体器件. CN: CN103545191A, 2014-01-29.
[455] 杨红, 马雪丽, 王文武, 韩锴, 王晓磊, 殷华湘, 闫江. 栅极结构的形成方法、半导体器件的形成方法以及半导体器件. CN: CN103545190A, 2014-01-29.
[456] 秦长亮, 殷华湘. 半导体器件及其制造方法. CN: CN103545213A, 2014-01-29.
[457] 秦长亮, 殷华湘. 半导体器件及其制造方法. CN: CN103545213A, 2014-01-29.
[458] 杨红, 王文武, 殷华湘, 闫江, 马雪丽. 栅极结构、半导体器件和两者的形成方法. CN: CN103545189A, 2014-01-29.
[459] 殷华湘, 梁擎擎, 马小龙. 半导体器件制造方法. CN: CN103545211A, 2014-01-29.
[460] 付作振, 殷华湘, 闫江. PVD制备TiN的方法. CN: CN103540893A, 2014-01-29.
[461] 付作振. 半导体器件测试方法. CN: CN103512508A, 2014-01-15.
[462] 殷华湘, 马小龙, 张严波, 朱慧珑. 半导体器件制造方法. CN: CN103515283A, 2014-01-15.
[463] 殷华湘, 徐秋霞, 陈大鹏. 一种半导体结构及其制造方法. 中国: CN103325826A, 2013.09.25.
[464] 殷华湘, 秦长亮, 马小龙, 徐秋霞, 陈大鹏. 半导体器件及其制造方法. CN: CN103456782A, 2013-12-18.
[465] 殷华湘, 朱慧珑, 钟汇才. 半导体器件制造方法. JP: CN101752487B, 2013-12-11.
[466] 秦长亮, 殷华湘. 半导体器件制造方法. CN: CN103426769A, 2013-12-04.
[467] 秦长亮, 殷华湘, 赵超. 半导体器件制造方法. CN: CN103426768A, 2013-12-04.
[468] 秦长亮, 殷华湘, 赵超. 半导体器件制造方法. CN: CN103390556A, 2013-11-13.
[469] 殷华湘, 付作振, 徐秋霞, 陈大鹏. 双金属栅极CMOS器件及其制造方法. CN: CN103378008A, 2013-10-30.
[470] 付作振, 殷华湘. MOSFET制造方法. CN: CN103377895A, 2013-10-30.
[471] 殷华湘, 何卫, 钟汇才, 赵超, 陈大鹏. 鳍形场效应晶体管制造方法. CN: CN103367162A, 2013-10-23.
[472] 秦长亮, 殷华湘. 使源/漏区更接近沟道区的MOS器件及其制作方法. CN: CN103367151A, 2013-10-23.
[473] 殷华湘, 马小龙, 徐秋霞, 陈大鹏. CMOS及其制造方法. CN: CN103367364A, 2013-10-23.
[474] 殷华湘. 半导体器件及其制造方法. CN: CN103367363A, 2013-10-23.
[475] 殷华湘, 马小龙, 秦长亮, 徐秋霞, 陈大鹏. 一种半导体结构及其制造方法. 中国: CN103325684A, 2013-09-25.
[476] 殷华湘, 赵超, 陈大鹏. 半导体器件及其制造方法. CN: CN103311282A, 2013-09-18.
[477] 殷华湘, 徐秋霞. 半导体器件及其制造方法. CN: CN103311247A, 2013-09-18.
[478] 殷华湘. 半导体器件及其制造方法. CN: CN103311281A, 2013-09-18.
[479] 秦长亮, 殷华湘, 尹海洲, 赵超. 半导体器件制造方法. CN: CN103311123A, 2013-09-18.
[480] 殷华湘. X射线探测器及其制造方法. CN: CN103296036A, 2013-09-11.
[481] 殷华湘, 王玉光, 董立军, 陈大鹏. X射线平板探测器及其制造方法. CN: CN103296035A, 2013-09-11.
[482] 马小龙, 殷华湘, 赵利川. 扩散阻挡层、金属互连结构及其制造方法. CN: CN103296006A, 2013-09-11.
[483] 秦长亮, 殷华湘, 赵超. 半导体器件制造方法. JP: CN103177968A, 2013-06-26.
[484] 付作振, 殷华湘. MOSFET制造方法. CN: CN103165458A, 2013-06-19.
[485] 殷华湘, 王玉光, 陈大鹏. 单片集成红外焦平面探测器. CN: CN103076099A, 2013-05-01.
[486] 殷华湘, 徐秋霞, 陈大鹏. 一种MOS器件及其制造方法. CN: CN103077969A, 2013-05-01.
[487] 殷华湘, 徐秋霞, 陈大鹏. 具有双金属栅的CMOS器件及其制造方法. CN: CN103077947A, 2013-05-01.
[488] 殷华湘, 徐秋霞, 陈大鹏. 半导体器件及其制造方法. CN: CN103035524A, 2013-04-10.
[489] 殷华湘, 赵超, 陈大鹏. 半导体器件及其制造方法. CN: CN103022347A, 2013-04-03.
[490] 秦长亮, 洪培真, 殷华湘. 半导体器件制造方法. CN: CN103021929A, 2013-04-03.
[491] 马小龙, 殷华湘. 类金刚石衬底上源漏掩埋型石墨烯晶体管器件和制作方法. CN: CN103000669A, 2013-03-27.
[492] 殷华湘, 王玉光, 董立军, 陈大鹏. 光照稳定性非晶态金属氧化物TFT器件以及显示器件. CN: CN102969361A, 2013-03-13.
[493] 殷华湘, 王玉光, 董立军, 陈大鹏. 高稳定性非晶态金属氧化物TFT器件. CN: CN102969362A, 2013-03-13.
[494] 殷华湘, 陈大鹏. 半导体器件及其制造方法. JP: CN102971853A, 2013-03-13.
[495] 马小龙, 殷华湘. 压应变p-MOSFET器件结构及其制造方法. CN: CN102956698A, 2013-03-06.
[496] Meng Lingkkuan, Yin Huaxiang. Etch-Back Method for Planarization at the Position-Near-Interface of an Interlayer Dielectric. CN: US2013040465A1, 2013-02-14.
[497] 殷华湘, 徐秋霞, 陈大鹏. 半导体器件及其制造方法. CN: CN102931222A, 2013-02-13.
[498] 殷华湘. 半导体器件的制造方法. CN: CN102903621A, 2013-01-30.
[499] 殷华湘. 半导体器件及其制造方法. CN: CN102903638A, 2013-01-30.
[500] 殷华湘, 陈大鹏. 紫外探测器的像素结构、紫外探测器系统及其制造方法. CN: CN102891150A, 2013-01-23.
[501] 殷华湘, 梁擎擎, 钟汇才. 高精度集成电路器件测试设备. CN: CN102890231A, 2013-01-23.
[502] 殷华湘, 梁擎擎, 钟汇才. 纳米器件沟道超薄栅介质电容测试方法. CN: CN102866303A, 2013-01-09.
[503] 殷华湘, 徐秋霞, 陈大鹏. 多栅器件的形成方法. CN: CN102856181A, 2013-01-02.
[504] 殷华湘, 董立军, 陈大鹏. 绝缘栅控横向场发射晶体管及其驱动方法. CN: CN102856362A, 2013-01-02.
[505] 殷华湘, 徐秋霞, 陈大鹏. 多栅器件的形成方法. CN: CN102856205A, 2013-01-02.
[506] 殷华湘, 陈大鹏. 光电探测叠层、半导体紫外探测器及其制造方法. CN: CN102832269A, 2012-12-19.
[507] 殷华湘, 陈大鹏. 感应栅型非晶态金属氧化物TFT气体传感器. CN: CN102778481A, 2012-11-14.
[508] 殷华湘, 陈大鹏. 可集成的非晶态金属氧化物半导体气体传感器. CN: CN102778479A, 2012-11-14.
[509] 殷华湘. 半导体器件及其制造方法. CN: CN102694053A, 2012-09-26.
[510] 殷华湘, 陈大鹏. 半导体器件及其制造方法. CN: CN102694052A, 2012-09-26.
[511] 殷华湘, 徐秋霞, 陈大鹏. 一种半导体结构及其制造方法. 中国: CN102683281A, 2012-09-19.
[512] 杨涛, 殷华湘, 徐秋霞, 赵超, 陈大鹏. 牺牲栅去除方法及栅堆叠制作方法. CN: CN102655121A, 2012-09-05.
[513] 殷华湘, 徐秋霞, 陈大鹏. 浅沟槽隔离及其形成方法. CN: CN102651332A, 2012-08-29.
[514] Yin, Huaxiang, Xu, Qiuxia, Chen, Dapeng. Method of Introducing Strain Into Channel and Device Manufactured by Using the Method. CN: US20120181634(A1), 2012-07-19.
[515] 殷华湘, 徐秋霞, 陈大鹏. 向沟道中引入应变的方法和使用该方法制作的器件. CN: CN102593001A, 2012-07-18.
[516] 孟令款, 殷华湘. 层间电介质的近界面平坦化回刻方法. CN: CN102592989A, 2012-07-18.
[517] 殷华湘, 徐秋霞, 贺晓斌, 陈大鹏. SOG层和光抗蚀剂层的反应离子刻蚀方法. CN: CN102569062A, 2012-07-11.
[518] 殷华湘, 徐秋霞, 孟令款, 杨涛, 陈大鹏. 层间电介质层的平面化方法. CN: CN102543839A, 2012-07-04.
[519] 殷华湘, 徐秋霞, 许高博, 孟令款, 杨涛. 半导体器件的制造方法. CN: CN102543838A, 2012-07-04.
[520] Yin, Huaxiang, Xu, Qiuxia, Meng, Lingkuan, Yang, Tao, Chen, Dapeng. METHOD FOR PLANARIZING INTERLAYER DIELECTRIC LAYER. CN: US20120164838(A1), 2012-06-28.
[521] 殷华湘, 徐秋霞, 陈大鹏. 可调节沟道应力的器件与方法. CN: CN102487086A, 2012-06-06.
[522] 殷华湘, 闫江, 陈大鹏. 半导体器件及其制造方法. US: CN101405865B, 2012-01-04.
[523] 秦长亮, 殷华湘, 赵超. 半导体器件制造方法. CN: CN102024760A, 2011-04-20.
[524] 殷华湘, 杨红, 张青竹, 徐秋霞. CMOS器件及其制造方法. CN: CN101958328A, 2011-01-26.
[525] 殷华湘, 秦长亮, 王桂磊, 朱慧珑. 半导体器件及其制造方法. JP: CN101887856A, 2010-11-17.
[526] Yin Huaxiang, Zhu Huilong, Ma Xiaolong. SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREFOR. JP: US2008265275A1, 2008-10-30.
[527] 殷华湘, 朱慧珑. 半导体器件及其制造方法. JP: CN100416818C, 2008-09-03.
[528] 殷华湘, 马小龙, 徐秋霞, 陈大鹏. 半导体器件及其制造方法. JP: CN101246900A, 2008-08-20.
[529] 王桂磊, 崔虎山, 殷华湘, 李俊峰, 朱慧珑, 赵超. 半导体器件及其制造方法. KR: CN101211893A, 2008-07-02.
[530] 马小龙, 殷华湘, 付作振. 半导体器件及其制造方法. JP: CN101136426A, 2008-03-05.
[531] 殷华湘, 秦长亮, 徐秋霞, 陈大鹏. 半导体器件及其制造方法. NL: CN101010796A, 2007-08-01.
[532] 殷华湘, 秦长亮, 王桂磊, 朱慧珑. 半导体器件及其制造方法. JP: CN1971970A, 2007-05-30.
[533] 殷华湘, 赵志国, 朱慧珑. 半导体器件及其制造方法. NL: CN1957461A, 2007-05-02.
[534] 殷华湘, 陈率, 朱慧珑. 半导体器件及其制造方法. JP: CN1815686A, 2006-08-09.
[535] 殷华湘, 项金娟, 杨红. 半导体器件制造方法. JP: CN1787186A, 2006-06-14.
[536] 殷华湘, 徐秋霞. 一种鱼脊形场效应晶体管的结构和制备方法. CN: CN1770453A, 2006-05-10.
[537] 秦长亮, 尹海洲, 殷华湘. 半导体器件制造方法. JP: CN1710704A, 2005-12-21.
[538] 秦长亮, 尹海洲, 王桂磊, 殷华湘, 李俊峰, 赵超. 半导体器件制造方法. JP: CN1222018C, 2005-10-05.
[539] 马小龙, 殷华湘, 许淼, 朱慧珑. 半导体器件及其制造方法. JP: CN1655349A, 2005-08-17.
[540] 殷华湘, 罗军, 赵超, 刘洪刚, 陈大鹏. 半导体器件及其制造方法. JP: CN1614765A, 2005-05-11.
[541] 殷华湘, 洪培真, 孟令款, 朱慧珑. 半导体器件及其制造方法. JP: CN1437267A, 2003-08-20.
[542] 殷华湘, 徐秋霞, 孟令款, 陈大鹏. 半导体器件的制造方法. JP: CN1112722C, 2003-06-25.
[543] Yin Huaxiang, Qin Changliang, Ma Xiaolong, Wang Guilei, Zhu Huilong. Method for manufacturing semiconductor device. 美国: US2003013257A1, 2003-01-16.
[544] 殷华湘, 钟汇才, 朱慧珑. 半导体器件及其制造方法. JP: CN1218286A, 1999-06-02.
[545] 殷华湘, 秦长亮, 马小龙, 王桂磊, 朱慧珑. 半导体器件制造方法. JP: CN1213164A, 1999-04-07.
[546] 秦长亮, 洪培真, 殷华湘. 半导体器件及其制造方法. JP: CN1132940A, 1996-10-09.
[547] 秦长亮, 殷华湘. 半导体器件及其制造方法. KR: CN1106164A, 1995-08-02.
[548] 秦长亮, 殷华湘, 尹海洲. 半导体器件制造方法. NL: CN1039151A, 1990-01-24.

出版信息

在国内外知名半导体电子器件杂志(包括EDLTEDAPL等)上发表过60多篇学术论文,SCI他引次数超过600次,半导体电子器件领域顶级国际学术IEDM上发表口头报告4次。

发表论文
[1] Xu, Haoqing, Yao, Jiaxin, Yang, Zhizhen, Cao, Lei, Zhang, Qingzhu, Li, Yongliang, Du, Anyan, Yin, Huaxiang, Wu, Zhenhua. Physical Insights of Si-Core-SiGe-Shell Gate-All-Around Nanosheet pFET for 3 nm Technology Node. IEEE TRANSACTIONS ON ELECTRON DEVICES. 2023, http://dx.doi.org/10.1109/TED.2023.3268156.
[2] Peng Sun, Gaobo Xu, Jianyu Fu, Mingzheng Ding, Yinan Yan, Huaxiang Yin. Ultra-high voltage silicon pixel sensor with less soft-breakdown for X-ray free electron laser. NUCLEAR INST. AND METHODS IN PHYSICS RESEARCH, A. 2023, 1053: http://dx.doi.org/10.1016/j.nima.2023.168388.
[3] Huo, Jiali, Zhang, Zhaohao, Zhang, Yadong, Zhang, Fan, Yan, Gangping, Tian, Guoliang, Xu, Haoqing, Zhan, Guohui, Xu, Gaobo, Zhang, Qingzhu, Yin, Huaxiang, Wu, Zhenhua. Stacked HZO/alpha-In2Se3 Ferroelectric Dielectric/Semiconductor FET With Ultrahigh Speed and Large Memory Window. IEEE TRANSACTIONS ON ELECTRON DEVICES. 2023, http://dx.doi.org/10.1109/TED.2023.3269403.
[4] 韩燕楚, 张青竹, 吴次南, 李俊杰, 张兆浩, 田佳佳, 殷华湘. 面向GAA器件的自对准侧墙转移技术. 微纳电子技术. 2023, 60(5): 793-802, http://lib.cqvip.com/Qikan/Article/Detail?id=7109913761.
[5] 曹磊, 张青竹, 姚佳欣, 李俊杰, 刘艳, 罗彦娜, 孔真真, 周娜, 高建峰, 卢一泓, 贺晓彬, 韩江浩, 吴振华, 李俊峰, 罗军, 殷华湘. Investigation of Fabricated CMOS FishboneFETs and TreeFETs With Strained SiGe Nano-Fins on Bulk-Si Substrate. Ieee Electron Device Letters[J]. 2023, 44(9): 1396-1399, [6] 张兆浩, 田国良, 霍嘉丽, 章帆, 张青竹, 许高博, 吴振华, 成岩, 刘艳, 殷华湘. Recent progress of hafnium oxide-based ferroelectric devices for advanced circuit applications. 中国科学 信息科学(英文版)[J]. 2023, 66(9): 200405-, [7] Tian, Guoliang, Chen, Jia, Yan, Gangping, Li, Lianlian, Song, Zhiyu, Yang, Shangbo, Zhang, Zhaohao, Xu, Gaobo, Yin, Huaxiang, Yang, Shuai, Luo, Yanna, Bi, Jinshun, Wu, Zhenhua, Wang, Guilei, Zhao, Chao, Luo, Jun, Wang, Wenwu. Highly Reliable Logic-in-Memory by Bidirectional Built-in Electric- Field-Modulated Multistate IGZO/AFE Nonvolatile Memory. ACS APPLIED ELECTRONIC MATERIALS. 2023, http://dx.doi.org/10.1021/acsaelm.2c01542.
[8] Zhang, Zhaohao, Mao, Shujuan, Xu, Gaobo, Zhang, Qingzhu, Wu, Zhenhua, Yin, Huaxiang, Ye, Tianchun. An Ultra-Dense One-Transistor Ternary-Content-Addressable Memory Array Based on Non-Volatile and Ambipolar Fin Field-Effect Transistors. IEEE TRANSACTIONS ON ELECTRON DEVICES[J]. 2023, 70(3): 1029-1033, http://dx.doi.org/10.1109/TED.2023.3239330.
[9] Zhang, Zhaohao, Zhang, Fan, Zhang, Yadong, Xu, Gaobo, Wu, Zhenhua, Zhang, Qingzhu, Li, Yongliang, Yin, Huaxiang, Luo, Jun, Wang, Wenwu, Ye, Tianchun. Ultradense One-Memristor Ternary-Content-Addressable Memory Based on Ferroelectric Diodes. IEEE ELECTRON DEVICE LETTERS[J]. 2023, 44(1): 64-67, http://dx.doi.org/10.1109/LED.2022.3223335.
[10] Cao, Lei, Zhang, Qingzhu, Luo, Yanna, Gu, Jie, Gan, Weizhuo, Lu, Peng, Yao, Jiaxin, Xu, Haoqing, Zhao, Peng, Luo, Kun, Wu, Yongqin, Bu, Weihai, Wu, Zhenhua, Yin, Huaxiang. Novel Channel-First Fishbone FETs With Symmetrical Threshold Voltages and Balanced Driving Currents Using Single Work Function Metal Process. IEEE TRANSACTIONS ON ELECTRON DEVICES[J]. 2022, 69(11): 5971-5977, http://dx.doi.org/10.1109/TED.2022.3206179.
[11] Zhao, Mei, Jia, Xuewei, Wu, Kewei, Yang, Tao, Li, Mengzhao, Fan, Yunyun, Yan, Gangping, Wang, Wei, Li, Mengran, Xu, Gaobo, Ding, Mingzheng, Yin, Huaxiang, Luo, Jun, Li, Junfeng, Shi, Xin, Liang, Zhijun, da Costa, Joao Guimaraes. Low Gain Avalanche Detectors with good time resolution developed by IHEP and IME for ATLAS HGTD project. NUCLEAR INSTRUMENTS & METHODS IN PHYSICS RESEARCH SECTION A-ACCELERATORS SPECTROMETERS DETECTORS AND ASSOCIATED EQUIPMENT[J]. 2022, 1033: http://dx.doi.org/10.1016/j.nima.2022.166604.
[12] Luo, Yanna, Cao, Lei, Zhang, Qingzhu, Cao, Yu, Zhang, Zhaohao, Yao, Jiaxin, Yan, Gangping, Zhang, Xuexiang, Gan, Weizhuo, Huo, Jiali, Xu, Haoqing, Tian, Guoliang, Bu, Weihai, Wu, Yongqin, Wu, Zhenhua, Yin, Huaxiang. Layout Optimization of Complementary FET 6T-SRAM Cell Based on a Universal Methodology Using Sensitivity With Respect to Parasitic R -and C-Values. IEEE TRANSACTIONS ON ELECTRON DEVICES[J]. 2022, 69(11): 6095-6101, [13] Zhang, Zhaohao, Gan, Weizhuo, Li, Junjie, Kong, Zhenzhen, Han, Yanchu, Liu, Yang, Wang, Guilei, Wu, Zhenhua, Yu, Jiahan, Zhang, Qingzhu, Xu, Gaobo, Zhang, Yongkui, Xiang, Jinjuan, Yin, Huaxiang, Luo, Jun, Wang, Wenwu. Scallop-shaped p-type FinFETs with improved short-channel effects immunity and driving current. MATERIALSSCIENCEINSEMICONDUCTORPROCESSING[J]. 2022, 140: http://dx.doi.org/10.1016/j.mssp.2021.106337.
[14] Cheng, Xiaohong, Li, Yongliang, Zhao, Fei, Chen, Anlan, Liu, Haoyan, Li, Chun, Zhang, Qingzhu, Yin, Huaxiang, Luo, Jun, Wang, Wenwu. 4-Levels Vertically Stacked SiGe Channel Nanowires Gate-All-Around Transistor with Novel Channel Releasing and Source and Drain Silicide Process. NANOMATERIALS[J]. 2022, 12(5): http://dx.doi.org/10.3390/nano12050889.
[15] Zhang, Fan, Luo, ZhengDong, Yang, Qiyu, Zhou, Jiuren, Wang, Jin, Zhang, Zhaohao, Fan, Qikui, Peng, Yue, Wu, Zhenhua, Liu, Fei, Chen, Shiyou, He, Dongsheng, Yin, Huaxiang, Han, Genquan, Liu, Yan, Hao, Yue. Evolution of the Interfacial Layer and Its Impact on Electric-Field-Cycling Behaviors in Ferroelectric Hf1-xZrxO2. ACS APPLIED MATERIALS & INTERFACES[J]. 2022, 14(8): 11028-11037, http://dx.doi.org/10.1021/acsami.1c22426.
[16] Shujuan Mao, Jianfeng Gao, Xiaobing He, Weibing Liu, Na Zhou, Yanna Luo, Lei Cao, Yanpeng Hu, Yongkui Zhang, Jinbiao Liu, Guilei Wang, Tingting Li, Zhenhua Wu, Yongliang Li, Junfeng Li, Jun Luo, Chao Zhao, Wenwu Wang, Huaxiang Yin. Low-temperature (≤550°C) p-channel Schottky barrier SOI FinFETs for monolithic 3D integration. MICROELECTRONIC ENGINEERING[J]. 2022, 260: [17] Mao, Shujuan, Liu, Jinbiao, Wang, Yao, Liu, Weibin, Yao, Jiaxin, Hu, Yanpeng, Cui, Hengwei, Kong, Zhenzhen, Zhang, Ran, Liu, Haochen, Wang, Zhenxing, Li, Tingting, Zhou, Na, Zhang, Yongkui, Gao, Jianfeng, Wu, Zhenhua, Li, Yongliang, Li, Junfeng, Luo, Jun, Wang, Wenwu, Yin, Huaxiang. Ultralow Contact Resistivity on Ga-Doped Ge with Contact Co-Implantation of Ge and B. ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY[J]. 2022, 11(5): http://dx.doi.org/10.1149/2162-8777/ac697a.
[18] Xu, Haoqing, Gan, Weizhuo, Cao, Lei, Yang, Cheng, Wu, Jiahao, Zhou, Mi, Qu, Hengze, Zhang, Shengli, Yin, Huaxiang, Wu, Zhenhua. A Machine Learning Approach for Optimization of Channel Geometry and Source/Drain Doping Profile of Stacked Nanosheet Transistors. IEEE TRANSACTIONS ON ELECTRON DEVICES[J]. 2022, 69(7): 3568-3574, http://dx.doi.org/10.1109/TED.2022.3175708.
[19] Mao, Shujuan, Gao, Jianfeng, He, Xiaobin, Liu, Weibing, Liu, Jinbiao, Wang, Guilei, Zhou, Na, Luo, Yanna, Cao, Lei, Zhang, Ran, Liu, Haochen, Li, Xun, Li, Yongliang, Wu, Zhenhua, Li, Junfeng, Luo, Jun, Zhao, Chao, Wang, Wenwu, Yin, Huaxiang. Low-Temperature (<= 500 degrees C) Complementary Schottky Source/Drain FinFETs for 3D Sequential Integration. NANOMATERIALS[J]. 2022, 12(7): http://dx.doi.org/10.3390/nano12071218.
[20] Xu, RenRen, Zhang, QingZhu, Zhou, LongDa, Yang, Hong, Gai, TianYang, Yin, HuaXiang, Wang, WenWu. Dependence of short channel length on negative/positive bias temperature instability (NBTI/PBTI) for 3D FinFET devices. CHINESE PHYSICS B[J]. 2022, 31(1): 529-534, http://dx.doi.org/10.1088/1674-1056/ac1410.
[21] Zhu, Xiaohui, Gu, Jie, Yin, Huaxiang, Wu, Zhenhua. Simulation of silicon quantum dots with diamond-channel by simplified ME model. RESULTS IN PHYSICS[J]. 2022, 38: https://doaj.org/article/411f178d8f264269b7563b7d061a2e51.
[22] Shujuan Mao, Jianfeng Gao, Xiaobin He, Weibing Liu, Jinbiao Liu, Guilei Wang, Na Zhou, Yanna Luo, Lei Cao, Ran Zhang, Haochen Liu, Xun Li, Yongliang Li, Zhenhua Wu, Junfeng Li, Jun Luo, Chao Zhao, Wenwu Wang, Huaxiang Yin. Low-Temperature (≤500 °C) Complementary Schottky Source/Drain FinFETs for 3D Sequential Integration. NANOMATERIALS[J]. 2022, 12: https://doaj.org/article/718ad3c0a2d44cbcb194c795c597a267.
[23] Gu, Jie, Zhang, Qingzhu, Wu, Zhenhua, Luo, Yanna, Cao, Lei, Cai, Yuwei, Yao, Jiaxin, Zhang, Zhaohao, Xu, Gaobo, Yin, Huaxiang, Luo, Jun, Wang, Wenwu. Narrow Sub-Fin Technique for Suppressing Parasitic-Channel Effect in Stacked Nanosheet Transistors. IEEE JOURNAL OF THE ELECTRON DEVICES SOCIETY[J]. 2022, 10: 35-39, http://dx.doi.org/10.1109/JEDS.2021.3130123.
[24] Tian, Guoliang, Xu, Gaobo, Yin, Huaxiang, Yan, Gangping, Zhang, Zhaohao, Li, Lianlian, Sun, Xiaoting, Chen, Jia, Zhang, Yadong, Bi, Jinshun, Xiang, Jinjuan, Liu, Jinbiao, Wu, Zhenhua, Luo, Jun, Wang, Wenwu. Improved Ferroelectricity and Endurance of Hf0.5Zr0.5O2 Thin Films in Low Thermal Budget with Novel Bottom Electrode Doping Technology. ADVANCED MATERIALS INTERFACES[J]. 2022, 9(24): [25] Luo, Yanna, Zhang, Qingzhu, Cao, Lei, Gan, Weizhuo, Xu, Haoqing, Cao, Yu, Gu, Jie, Xu, Renren, Yan, Gangping, Huo, Jiali, Wu, Zhenhua, Yin, Huaxiang. Investigation of Novel Hybrid Channel Complementary FET Scaling Beyond 3-nm Node From Device to Circuit. IEEE TRANSACTIONS ON ELECTRON DEVICES[J]. 2022, 69(7): 3581-3588, http://dx.doi.org/10.1109/TED.2022.3176843.
[26] Zhang, Zhaohao, Luo, Yanna, Cui, Yan, Yang, Hong, Zhang, Qingzhu, Xu, Gaobo, Wu, Zhenhua, Xiang, Jinjuan, Liu, Qianqian, Yin, Huaxiang, Mao, Shujuan, Wang, Xiaolei, Li, Junjie, Zhang, Yongkui, Luo, Qing, 高建峰, Xiong, Wenjuan, Liu, Jinbiao, Li, Yongliang, Li, Junfeng, Luo, Jun, Wang, Wenwu. A Polarization-Switching, Charge-Trapping, Modulated Arithmetic Logic Unit for In-Memory Computing Based on Ferroelectric Fin Field-Effect Transistors. ACS APPLIED MATERIALS & INTERFACES[J]. 2022, 14(5): 6967-6976, http://dx.doi.org/10.1021/acsami.1c20189.
[27] Zhang, Dan, Su, Xiaojing, Chang, Hao, Xu, Hao, Wang, Xiaolei, He, Xiaobin, Li, Junjie, Zhao, Fei, Yao, Qide, Luo, Yanna, Ma, Xueli, Yang, Hong, Li, Yongliang, Wu, Zhenhua, Su, Yajuan, Yang, Tao, Wei, Yayi, Du, Anyan, Zhu, Huilong, Li, Junfeng, Yin, Huaxiang, Luo, Jun, Ye, Tianchun, Wang, Wenwu. Advanced process and electron device technology. TSINGHUA SCIENCE AND TECHNOLOGY[J]. 2022, 27(3): 534-558, http://dx.doi.org/10.26599/TST.2021.9010049.
[28] Xu, Renren, Yao, Jiaxin, Xu, Gaobo, Wei, Yanzhao, Yin, Huaxiang, Zhang, Qingzhu, Tian, Guoliang, Wang, Yanrong, Yan, Gangping, Xiang, Jinjuan, Bu, Weihai, Wu, Yongqin, Wu, Zhenhua, Luo, Jun, Wang, Wenwu. Experimental Investigation of Ultrathin Al2O3 Ex-Situ Interfacial Doping Strategy on Laminated HKMG Stacks via ALD. IEEE TRANSACTIONS ON ELECTRON DEVICES[J]. 2022, 69(4): 1964-1971, http://dx.doi.org/10.1109/TED.2022.3152976.
[29] Sun, Xiaoting, Zhang, Yadong, Jia, Kunpeng, Tian, Guoliang, Yu, Jiahan, Xiang, Jinjuan, Yang, Ruixia, Wu, Zhenhua, Yin, Huaxiang. Improved performance of MoS2 FET by in situ NH3 doping in ALD Al2O3 dielectric. CHINESE PHYSICS B[J]. 2022, 31(7): 560-564, [30] Yan, Gangping, Yang, Hong, Liu, Weibing, Zhou, Na, Hu, Yanpeng, Shi, Yunfei, 高建峰, Tian, Guoliang, Zhang, Yadong, Fan, Linjie, Wang, Guilei, Xu, Gaobo, Bi, Jinshun, Yin, Huaxiang, Zhao, Chao, Luo, Jun. Mechanism Analysis of Ultralow Leakage and Abnormal Instability in InGaZnO Thin-Film Transistor Toward DRAM. IEEE TRANSACTIONS ON ELECTRON DEVICES[J]. 2022, 69(5): 2417-2422, http://dx.doi.org/10.1109/TED.2022.3159266.
[31] Tian, Jiajia, He, Yujuan, Zhang, Qingzhu, Wu, Cinan, Cao, Lei, Yao, Jiaxin, Mao, Shujuan, Luo, Yanna, Zhang, Zhaohao, Li, Yongliang, Xu, Gaobo, Li, Bo, Han, Yanchu, Liu, Yang, Li, Junjie, Wu, Zhenhua, Wang, Guilei, Kong, Zhenzhen, Liu, Jinbiao, Yang, Hong, Zhang, Yongkui, Radamson, Henry H, Yin, Huaxiang, Luo, Jun, Wang, Wenwu. Improving Driving Current with High-Efficiency Landing Pads Technique for Reduced Parasitic Resistance in Gate-All-Around Si Nanosheet Devices. ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY[J]. 2022, 11(3): http://dx.doi.org/10.1149/2162-8777/ac5d64.
[32] Shijie Huang, Zhenghua Wu, Haoqing Xu, Jingrui Guo, Lihua Xu, XinLv Duan, Qian Chen, Guanhua Yang, Qingzhu Zhang, Huaxiang Yin, 汪令飞, Ling Li, Ming Liu. Geometric Variability Aware Quantum Potential based Quasi-ballistic Compact Model for Stacked 6 nm-Thick Silicon Nanosheet GAA-FETs. IEEE International Electron Devices Meeting (IEDM)null. 2021, [33] Gu, Jie, Wu, Zhenhua, Zhang, Qinzhu, Yao, Jiaxin, Zhang, Zhaohao, Li, Junjie, Cai, Yuwei, Xu, Renren, Xu, Gaobo, Yin, Huaxiang, Li, Junfeng, Wang, Wenwu, Ye, Tianchun. Quantum Dot With a Diamond-Shaped Channel MOSFET on a Bulk Si Substrate. IEEE TRANSACTIONS ON ELECTRON DEVICES[J]. 2021, 68(1): 405-410, https://www.webofscience.com/wos/woscc/full-record/WOS:000602689000026.
[34] Zhang, Qingzhu, Gu, Jie, Xu, Renren, Cao, Lei, Li, Junjie, Wu, Zhenhua, Wang, Guilei, Yao, Jiaxin, Zhang, Zhaohao, Xiang, Jinjuan, He, Xiaobin, Kong, Zhenzhen, Yang, Hong, Tian, Jiajia, Xu, Gaobo, Mao, Shujuan, Radamson, Henry H, Yin, Huaxiang, Luo, Jun. Optimization of Structure and Electrical Characteristics for Four-Layer Vertically-Stacked Horizontal Gate-All-Around Si Nanosheets Devices. NANOMATERIALS[J]. 2021, 11(3): https://www.ncbi.nlm.nih.gov/pmc/articles/PMC7998492/.
[35] Zhou, Longda, Zhang, Qingzhu, Yang, Hong, Ji, Zhigang, Wang, Guilei, Liu, Qianqian, Tang, Bo, Gao, Rui, Simoen, Eddy, Yin, Huaxiang, Zhao, Chao, Du, Anyan, Luo, Jun, Wang, Wenwu. Recovery Behavior of Interface Traps After Negative Bias Temperature Instability Stress in p-FinFETs Featuring Fast Trap Characterization Technique. IEEE TRANSACTIONS ON ELECTRON DEVICES[J]. 2021, 68(9): 4251-4258, [36] Zhang, Yadong, Sun, Xiaoting, Jia, Kunpeng, Yin, Huaxiang, Luo, Kun, Yu, Jiahan, Wu, Zhenhua. Enhancement of InSe Field-Effect-Transistor Performance against Degradation of InSe Film in Air Environment. NANOMATERIALS[J]. 2021, 11(12): http://dx.doi.org/10.3390/nano11123311.
[37] Zhang, Lu, Zhang, Yadong, Sun, Xiaoting, Jia, Kunpeng, Zhang, Qingzhu, Wu, Zhenhua, Yin, Huaxiang. High-performance multilayer WSe2 p-type field effect transistors with Pd contacts for circuit applications. JOURNAL OF MATERIALS SCIENCE-MATERIALS IN ELECTRONICS[J]. 2021, 32(13): 17427-17435, http://dx.doi.org/10.1007/s10854-021-06274-x.
[38] Cai, Yuwei, Zhang, Qinzhu, Zhang, Zhaohao, Xu, Gaobo, Luo, Yanna, Gu, Jie, Gan, Weizhuo, Lin, Xiang, Xu, Renren, Wu, Zhenhua, Yin, Huaxiang, Wang, Wenwu, Xu, Qiuxia, Ye, Tianchun. Endurance Characteristics of Negative Capacitance FinFETs With Negligible Hysteresis. IEEE ELECTRON DEVICE LETTERS[J]. 2021, 42(2): 260-263, https://www.webofscience.com/wos/woscc/full-record/WOS:000613404400032.
[39] 张璐, 张亚东, 殷华湘. 基于TMD材料的CMOS反相器电路研究现状. 微纳电子技术[J]. 2021, 58(3): 185-195, http://lib.cqvip.com/Qikan/Article/Detail?id=7104507484.
[40] Zhang, Qingzhu, Tu, Hailing, Zhang, Zhaohao, Li, Junjie, Wei, Feng, Wang, Guilei, Han, Jiaohao, Zhao, Hongbin, Zhang, Yongkui, Li, Yongliang, Wu, Zhenhua, Gu, Jie, Xu, Renren, Bai, Guibin, Xu, Gaobo, Wei, Qianhui, Fan, Yanyan, Yan, Jiang, Li, Bo, Xu, Qiuxia, Yin, Huaxiang, Wang, Wenwu. Optimization of zero-level interlayer dielectric materials for gate-all-around silicon nanowire channel fabrication in a replacement metal gate process. MATERIALS SCIENCE IN SEMICONDUCTOR PROCESSING[J]. 2021, 121: http://dx.doi.org/10.1016/j.mssp.2020.105434.
[41] Cai, Yuwei, Zhang, Qingzhu, Zhang, Zhaohao, Xu, Gaobo, Wu, Zhenhua, Gu, Jie, Li, Junjie, Xiang, Jinjuan, Yin, Huaxiang. Influence of Applied Stress on the Ferroelectricity of Thin Zr-Doped HfO2 Films. APPLIED SCIENCES-BASEL[J]. 2021, 11(9): http://dx.doi.org/10.3390/app11094295.
[42] Zhang, Fan, Peng, Yue, Deng, Xinran, Huo, Jiali, Liu, Yan, Han, Genquan, Wu, Zhenhua, Yin, Huaxiang, Hao, Yue. Theoretical Study of Negative Capacitance FinFET With Quasi-Antiferroelectric Material. IEEE TRANSACTIONS ON ELECTRON DEVICES[J]. 2021, 68(6): 3074-3079, http://dx.doi.org/10.1109/TED.2021.3074352.
[43] Cai, Yuwei, Zhang, Zhaohao, Zhang, Qingzhu, Xiang, Jinjuan, Xu, Gaobo, Wu, Zhenhua, Gu, Jie, Yin, Huaxiang. Investigation of time domain characteristics of negative capacitance FinFET by pulse-train approaches. JOURNALOFSEMICONDUCTORS[J]. 2021, 42(11): [44] Gu, Jie, Zhang, Qingzhu, Wu, Zhenhua, Yao, Jiaxin, Zhang, Zhaohao, Zhu, Xiaohui, Wang, Guilei, Li, Junjie, Zhang, Yongkui, Cai, Yuwei, Xu, Renren, Xu, Gaobo, Xu, Qiuxia, Yin, Huaxiang, Luo, Jun, Wang, Wenwu, Ye, Tianchun. Cryogenic Transport Characteristics of P-Type Gate-All-Around Silicon Nanowire MOSFETs. NANOMATERIALS[J]. 2021, 11(2): https://www.ncbi.nlm.nih.gov/pmc/articles/PMC7911106/.
[45] Yan, Gangping, Xi, Kai, Xu, Gaobo, Bi, Jinshun, Yin, Huaxiang. Analysis of Single Event Effects in Capacitor-Less 1T-DRAM Based on an InGaAs Transistor. IEEE TRANSACTIONS ON ELECTRON DEVICES[J]. 2021, 68(4): 1604-1609, http://dx.doi.org/10.1109/TED.2021.3057791.
[46] Longda Zhou, Qianqian Liu, Hong Yang, Zhigang Ji, Hao Xu, Guilei Wang, Eddy Simoen, Haojie Jiang, Ying Luo, Zhenzhen Kong, Guobin Bai, Jun Luo, Huaxiang Yin, Chao Zhao, Wenwu Wang. Alleviation of Negative-Bias Temperature Instability in Si p-FinFETs With ALD W Gate-Filling Metal by Annealing Process Optimization. IEEE JOURNAL OF THE ELECTRON DEVICES SOCIETY[J]. 2021, 9: 229-235, https://doaj.org/article/c5b752e8b8c341c8ae304cdcd783f0ac.
[47] 张璐, 张亚东, 孙小婷, 贾昆鹏, 吴振华, 殷华湘. WSe_(2)场效应晶体管的源漏接触特性研究. 半导体光电[J]. 2021, 42(3): 371-374+384, http://lib.cqvip.com/Qikan/Article/Detail?id=7105480346.
[48] Luo, Kun, Yang, Wen, Pan, Yu, Yin, Huaxiang, Zhao, Chao, Wu, Zhenhua. Ab-Initio Simulations of Monolayer InSe and MoS2 Strain Effect: From Electron Mobility to Photoelectric Effect. JOURNAL OF ELECTRONIC MATERIALS[J]. 2020, 49(1): 559-565, http://dx.doi.org/10.1007/s11664-019-07809-z.
[49] Changliang Qin, Huaxiang Yin, Guilei Wang, Yanbo Zhang, Jinbiao Liu, Qinzhu Zhang, Huilong Zhu, Chao Zhao, Henry H. Radamson. A novel method for source/drain ion implantation for 20 nm FinFETs and beyond. JOURNAL OF MATERIALS SCIENC. 2020, 31(1): http://kns.cnki.net/KCMS/detail/detail.aspx?QueryID=0&CurRec=1&recid=&FileName=SSJD1FADFF47441AFE6536C712D4895C6424&DbName=SSJD_01&DbCode=SSJD&yx=&pr=&URLID=&bsm=.
[50] Zhou, Longda, Li, Yongliang, Yin, Huaxiang, Du, Anyan, Zhao, Chao, Wang, Wenwu, Tang, Bo, Ji, Zhigang, Yang, Hong, Xu, Hao, Liu, Qianqian, Simoen, Eddy, Wang, Xiaolei, Ma, Xueli. An Investigation of Field Reduction Effect on NBTI Parameter Characterization and Lifetime Prediction Using a Constant Field Stress Method. IEEE TRANSACTIONS ON DEVICE AND MATERIALS RELIABILITY[J]. 2020, 20(1): 92-96, http://dx.doi.org/10.1109/TDMR.2019.2960471.
[51] Zhou, Longda, Liu, Qianqian, Yang, Hong, Ji, Zhigang, Xu, Hao, Tang, Bo, Simoen, Eddy, Jiang, Haojie, Luo, Ying, Wang, Xiaolei, Ma, Xueli, Li, Yongliang, Luo, Jun, Yin, Huaxiang, Zhao, Chao, Wang, Wenwu. Insights Into the Effect of TiN Thickness Scaling on DC and AC NBTI Characteristics in Replacement Metal Gate pMOSFETs. IEEE TRANSACTIONS ON DEVICE AND MATERIALS RELIABILITY[J]. 2020, 20(3): 498-505, http://dx.doi.org/10.1109/TDMR.2020.2997811.
[52] 刘瑶光, 殷华湘, 吴次南, 许高博, 翟琼华. 一种硅漂移探测器的优化设计与特性研究. 传感技术学报[J]. 2020, 33(1): 7-11, https://kns.cnki.net/KCMS/detail/detail.aspx?dbcode=CJFQ&dbname=CJFDLAST2020&filename=CGJS202001002&v=MTk1Mjg3RGgxVDNxVHJXTTFGckNVUjdxZVorUnZGaW5tVmJ6SkppckJmYkc0SE5ITXJvOUZab1I4ZVgxTHV4WVM=.
[53] Qin, Changliang, Yin, Huaxiang, Wang, Guilei, Zhang, Yanbo, Liu, Jinbiao, Zhang, Qinzhu, Zhu, Huilong, Zhao, Chao, Radamson, Henry H. A novel method for source/drain ion implantation for 20 nm FinFETs and beyond. JOURNAL OF MATERIALS SCIENCE-MATERIALS IN ELECTRONICS[J]. 2020, 31(1): 98-104, https://www.webofscience.com/wos/woscc/full-record/WOS:000518400500014.
[54] Li, Junjie, Wang, Wenwu, Li, Yongliang, Zhou, Na, Wang, Guilei, Kong, Zhenzhen, Fu, Jianyu, Yin, Xiaogen, Li, Chen, Wang, Xiaolei, Yang, Hong, Ma, Xueli, Han, Jianghao, Zhang, Jing, Wei, Yijun, Hu, Tairan, Yang, Tao, Li, Junfeng, Yin, Huaxiang, Zhu, Huilong, Radamson, Henry H. Study of selective isotropic etching Si1-xGex in process of nanowire transistors. JOURNAL OF MATERIALS SCIENCE-MATERIALS IN ELECTRONICS[J]. 2020, 31(1): 134-143, http://dx.doi.org/10.1007/s10854-019-02269-x.
[55] Yan, Gangping, Bi, Jinshun, Xu, Gaobo, Xi, Kai, Li, Bo, Fan, Linjie, Yin, Huaxiang. Simulation of Total Ionizing Dose (TID) Effects Mitigation Technique for 22 nm Fully-Depleted Silicon-on-Insulator (FDSOI) Transistor. IEEE ACCESS[J]. 2020, 8: 154898-154905, https://doaj.org/article/f266e2bf5666490ca2a2e5862545176e.
[56] Shen, Yuxin, Zhang, Zhaohao, Zhang, Qingzhu, Wei, Feng, Yin, Huaxiang, Wei, Qianhui, Men, Kuo. A Gd-doped HfO2 single film for a charge trapping memory device with a large memory window under a low voltage. RSC ADVANCES[J]. 2020, 10(13): 7812-7816, http://apps.webofknowledge.com/CitedFullRecord.do?product=UA&colName=WOS&SID=5CCFccWmJJRAuMzNPjj&search_mode=CitedFullRecord&isickref=WOS:000519586300046.
[57] Gan, Weizhuo, Prentki, Raphael J, Liu, Fei, Bu, Jianhui, Luo, Kun, Zhang, Qingzhu, Zhu, Huilong, Wang, Wenwu, Ye, Tianchun, Yin, Huaxiang, Wu, Zhenhua, Guo, Hong. Design and Simulation of Steep-Slope Silicon Cold Source FETs With Effective Carrier Distribution Model. IEEE TRANSACTIONS ON ELECTRON DEVICES[J]. 2020, 67(6): 2243-2248, http://dx.doi.org/10.1109/TED.2020.2988855.
[58] Yao, Jiaxin, Yin, Huaxiang, Wu, Zhenhua, Tian, Jinshou. Novel Band-Edge Work Function Performance Modulation via NPT with PMOS1st/NMOS1st Laminated Stack for PMOS Low Power Target. ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY[J]. 2020, 9(10): https://www.webofscience.com/wos/woscc/full-record/WOS:000588313700001.
[59] Zhou, Longda, Zhang, Qingzhu, Yang, Hong, Ji, Zhigang, Zhang, Zhaohao, Xu, Renren, Yin, Huaxiang, Wang, Wenwu, IEEE. Comparative Study on the Energy Profile of NBTI-Related Defects in Si and Ferroelectric p-FinFETs. 2020 IEEE INTERNATIONAL RELIABILITY PHYSICS SYMPOSIUM (IRPS)null. 2020, [60] Zhang, Qingzhu, Liu, Ruonan, Tu, Hailing, Tang, Siqi, Yan, Jiang, Li, Bo, Zhang, Jing, Zhang, Zhaohao, Wei, Qianhui, Yin, Huaxiang, Wang, K, Lombardo, D. Novel Insulator Isolated Si NW Sensors Fabricated using Bulk Substrate with Low-cost and High-quality. 6TH ANNUAL INTERNATIONAL WORKSHOP ON MATERIALS SCIENCE AND ENGINEERINGnull. 2020, 1622: [61] Zhou, Longda, Wang, Guilei, Yin, Xiaogen, Ji, Zhigang, Liu, Qianqian, Xu, Hao, Yang, Hong, Simoen, Eddy, Wang, Xiaolei, Ma, Xueli, Li, Yongliang, Kong, Zhenzhen, Jiang, Haojie, Luo, Ying, Yin, Huaxiang, Zhao, Chao, Wang, Wenwu. Comparative study on NBTI kinetics in Si p-FinFETs with B2H6-based and SiH4-based atomic layer deposition tungsten (ALD W) filling metal. MICROELECTRONICS RELIABILITY[J]. 2020, 107: http://dx.doi.org/10.1016/j.microrel.2020.113627.
[62] Tian, GuoLiang, Bi, JinShun, Xu, GaoBo, Xi, Kai, Xu, YanNan, Yang, XueQin, Yin, HuaXiang, Xu, QiuXia, Li, YongLiang. Hf0.5Zr0.5O2-based ferroelectric bionic electronic synapse device with highly symmetrical and linearity weight modification. ELECTRONICSLETTERS[J]. 2020, 56(16): 840-842, https://www.webofscience.com/wos/woscc/full-record/WOS:000560412900019.
[63] Tang, Siqi, Yan, Jiang, Zhang, Jing, Wei, Shuhua, Zhang, Qingzhu, Li, Junjie, Fang, Min, Zhang, Shuang, Xiong, Enyi, Wang, Yanrong, Yang, Jianglan, Zhang, Zhaohao, Wei, Qianhui, Yin, Huaxiang, Wang, Wenwu, Tu, Hailing. Fabrication of Low Cost and Low Temperature Poly-Silicon Nanowire Sensor Arrays for Monolithic Three-Dimensional Integrated Circuits Applications. NANOMATERIALS[J]. 2020, 10(12): https://www.ncbi.nlm.nih.gov/pmc/articles/PMC7763022/.
[64] Chang, Hao, Zhou, Longda, Yang, Hong, Ji, Zhigang, Liu, Qianqian, Xu, Hao, Simoen, Eddy, Yin, Huaxiang, Wang, Wenwu, IEEE. Degradation Mechanism of Short Channel p-FinFETs under Hot Carrier Stress and Constant Voltage Stress. 2020 IEEE INTERNATIONAL SYMPOSIUM ON THE PHYSICAL AND FAILURE ANALYSIS OF INTEGRATED CIRCUITS (IPFA)null. 2020, [65] Zhao, Zhiqian, Cheng, Xiaohong, Li, Yongliang, Zan, Ying, Liu, Haoyan, Wang, Guilei, Du, Anyan, Li, Junjie, Zhang, Qingzhu, Xu, Gaobo, Ma, Xueli, Wang, Xiaolei, Yang, Hong, Xu, Jing, Luo, Jun, Li, JunFeng, Yin, Huaxiang, Wang, Wenwu. Investigation on the formation technique of SiGe Fin for the high mobility channel FinFET device. JOURNAL OF MATERIALS SCIENCE-MATERIALS IN ELECTRONICS[J]. 2020, 31(8): 5854-5860, https://www.webofscience.com/wos/woscc/full-record/WOS:000499978000002.
[66] Nan Zhang, Zhaohao Zhang, Qingzhu Zhang, Qianhui Wei, Jing Zhang, Siqi Tang, Chunguang Lv, Yanrong Wang, Hongbin Zhao, Feng Wei, Jiang Yan, Mikhail Baklanov, Huaxiang Yin, Wenwu Wang, Hailing Tu. O2 plasma treated biosensor for enhancing detection sensitivity of sulfadiazine in a high-к HfO2 coated silicon nanowire array. SENSORS AND ACTUATORS: B. CHEMICAL. 2020, 306: http://dx.doi.org/10.1016/j.snb.2019.127464.
[67] Zhang, Yadong, Jia, Kunpeng, Liu, Jiangtao, Pan, Yu, Luo, Kun, Yu, Jiahan, Zhang, Yongkui, Tian, Hanmin, Wu, Zhenhua, Yin, Huaxiang. The optimization of contact interface between metal/MoS2 FETs by oxygen plasma treatment. JOURNAL OF MATERIALS SCIENCE-MATERIALS IN ELECTRONICS[J]. 2020, 31(12): 9660-9665, http://dx.doi.org/10.1007/s10854-020-03511-7.
[68] Zhou, Longda, Zhang, Qingzhu, Yang, Hong, Ji, Zhigang, Zhang, Zhaohao, Liu, Qianqian, Xu, Hao, Tang, Bo, Simoen, Eddy, Ma, Xueli, Wang, Xiaolei, Li, Yongliang, Yin, Huaxiang, Luo, Jun, Zhao, Chao, Wang, Wenwu. Understanding Frequency Dependence of Trap Generation Under AC Negative Bias Temperature Instability Stress in Si p-FinFETs. IEEE ELECTRON DEVICE LETTERS[J]. 2020, 41(7): 965-968, https://www.webofscience.com/wos/woscc/full-record/WOS:000545436900001.
[69] Yang, Hong, Qi, Luwei, Zhang, Yanbo, Tang, Bo, Liu, Qianqian, Xu, Hao, Ma, Xueli, Wang, Xiaolei, Li, Yongliang, Yin, Huaxiang, Li, Junfeng, Zhu, Huilong, Zhao, Chao, Wang, Wenwu, Ye, Tianchun. Influence of an ALD TiN capping layer on the PBTI characteristics of n-FinFET with ALD HfO2/TiN-capping/TiAl gate stacks. SCIENCE CHINA-INFORMATION SCIENCES[J]. 2020, 63(2): 248-250, http://lib.cqvip.com/Qikan/Article/Detail?id=00002GGMK9807JP0MPDO7JP06LR.
[70] Zhang, Nan, Zhang, Zhaohao, Zhang, Qingzhu, Wei, Qianhui, Zhang, Jing, Tang, Siqi, Lv, Chunguang, Wang, Yanrong, Zhao, Hongbin, Wei, Feng, Yan, Jiang, Baklanov, Mikhail, Yin, Huaxiang, Wang, Wenwu, Tu, Hailing. O-2 plasma treated biosensor for enhancing detection sensitivity of sulfadiazine in a high-K HfO2 coated silicon nanowire array. SENSORS AND ACTUATORS B-CHEMICAL[J]. 2020, 306: https://www.webofscience.com/wos/woscc/full-record/WOS:000507459500031.
[71] Tian, Guoliang, Bi, Jinshun, Xu, Gaobo, Xi, Kai, Yang, Xueqin, Yin, Huaxiang, Xu, Qiuxia, Wang, Wenwu. Heavy ion induced single-event-transient effects in nanoscale ferroelectric vertical tunneling transistors by TCAD simulation. SEMICONDUCTOR SCIENCE AND TECHNOLOGY[J]. 2020, 35(10): https://www.webofscience.com/wos/woscc/full-record/WOS:000568340700001.
[72] Li, Junjie, Li, Yongliang, Zhou, Na, Xiong, Wenjuan, Wang, Guilei, Zhang, Qingzhu, Du, Anyan, Gao, Jianfeng, Kong, Zhenzhen, Lin, Hongxiao, Xiang, Jinjuan, Li, Chen, Yin, Xiaogen, Wang, Xiaolei, Yang, Hong, Ma, Xueli, Han, Jianghao, Zhang, Jing, Hu, Tairan, Cao, Zhe, Yang, Tao, Li, Junfeng, Yin, Huaxiang, Zhu, Huilong, Luo, Jun, Wang, Wenwu, Radamson, Henry H. Study of Silicon Nitride Inner Spacer Formation in Process of Gate-all-around Nano-Transistors. NANOMATERIALS[J]. 2020, 10(4): http://dx.doi.org/10.3390/nano10040793.
[73] Ma, Xueli, Wang, Xiaolei, Zhou, Lixing, Xu, Hao, Zhang, Yuanyuan, Duan, Jiahui, Xiang, Jinjuan, Yang, Hong, Li, Junjie, Li, Yongliang, Yin, Huaxiang, Wang, Wenwu. Experimental study of the ultrathin oxides on SiGe alloy formed by low-temperature ozone oxidation. MATERIALS SCIENCE IN SEMICONDUCTOR PROCESSING[J]. 2020, 107: http://dx.doi.org/10.1016/j.mssp.2019.104832.
[74] Ma, Xueli, Xiang, Jinjuan, Zhou, Lixing, Xu, Hao, Wang, Xiaolei, Yang, Hong, Li, Yongliang, Yin, Huaxiang, Wang, Wenwu. Understanding the mechanisms impacting the interface states of ozone-treated high-k/SiGe interfaces. SEMICONDUCTOR SCIENCE AND TECHNOLOGY[J]. 2020, 35(5): https://www.webofscience.com/wos/woscc/full-record/WOS:000523453200001.
[75] Zhao, Zhiqian, Li, Yan, Zan, Ying, Li, Yongliang, Li, Junjie, Cheng, Xiaohong, Wang, Guilei, Liu, Haoyan, Wang, Hanxiang, Zhang, Qingzhu, Ma, Xueli, Wang, Xiaolei, Yang, Hong, Li, JunFeng, Luo, Jun, Yin, Huaxiang, Wang, Wenwu. Fabrication technique of the Si0.5Ge0.5 Fin for the high mobility channel FinFET device. SEMICONDUCTOR SCIENCE AND TECHNOLOGY[J]. 2020, 35(4): https://www.webofscience.com/wos/woscc/full-record/WOS:000520431400001.
[76] Tian, Guoliang, Bi, Jinshun, Xu, Gaobo, Xi, Kai, Yang, Xueqin, Sandip, Majumdar, Yin, Huaxiang, Xu, Qiuxia, Wang, Wenwu. Single-event-transient effects in silicon-on-insulator ferroelectric double-gate vertical tunneling field effect transistors. SCIENCE CHINA-INFORMATION SCIENCES[J]. 2020, 63(12): 274-276, http://lib.cqvip.com/Qikan/Article/Detail?id=7103486735.
[77] Zhou, Longda, Zhang, Qingzhu, Yang, Hong, Ji, Zhigang, Zhang, Zhaohao, Xu, Renren, Yin, Huaxiang, Du, Anyan, Wang, Wenwu, IEEE. Impact of Electron trapping on Energy Distribution Characterization of NBTI-Related Defects for Si p-FinFETs. 2020 IEEE INTERNATIONAL SYMPOSIUM ON THE PHYSICAL AND FAILURE ANALYSIS OF INTEGRATED CIRCUITS (IPFA)null. 2020, [78] Cheng, Weijun, Liang, Renrong, Xu, Gaobo, Yu, Guofang, Zhang, Shuqin, Yin, Huaxiang, Zhao, Chao, Ren, TianLing, Xu, Jun. Fabrication and Characterization of a Novel Si Line Tunneling TFET With High Drive Current. IEEE JOURNAL OF THE ELECTRON DEVICES SOCIETY[J]. 2020, 8(1): 336-340, https://doaj.org/article/bf9362598c5f483782496368669f36c4.
[79] Li, Junjie, Li, Yongliang, Zhou, Na, Wang, Guilei, Zhang, Qingzhu, Du, Anyan, Zhang, Yongkui, Gao, Jianfeng, Kong, Zhenzhen, Lin, Hongxiao, Xiang, Jinjuan, Li, Chen, Yin, Xiaogen, Li, Yangyang, Wang, Xiaolei, Yang, Hong, Ma, Xueli, Han, Jianghao, Zhang, Jing, Hu, Tairan, Yang, Tao, Li, Junfeng, Yin, Huaxiang, Zhu, Huilong, Wang, Wenwu, Radamson, Henry H. A Novel Dry Selective Isotropic Atomic Layer Etching of SiGe for Manufacturing Vertical Nanowire Array with Diameter Less than 20 nm. MATERIALS[J]. 2020, 13(3): https://doaj.org/article/6d27beb2892d4c24b1b1988ad2b46a06.
[80] Yan, Gangping, Xu, Gaobo, Bi, Jinshun, Tian, Guoliang, Xu, Qiuxia, Yin, Huaxiang, Li, Yongliang. Accumulative total ionizing dose (TID) and transient dose rate (TDR) effects on planar and vertical ferroelectric tunneling-field-effect-transistors (TFET). MICROELECTRONICS RELIABILITY[J]. 2020, 114: http://dx.doi.org/10.1016/j.microrel.2020.113855.
[81] Wang, Guilei, Kolahdouz, M, Luo, Jun, Qin, Changliang, Gu, Shihai, Kong, Zhenzhen, Yin, Xiaogen, Xiong, Wenjuan, Zhao, Xuewei, Liu, Jinbiao, Yang, Tao, Li, Junfeng, Yin, Huaxiang, Zhu, Huilong, Wang, Wenwu, Zhao, Chao, Ye, Tianchun, Radamson, Henry H. Growth of SiGe layers in source and drain regions for 10 nm node complementary metal-oxide semiconductor (CMOS). JOURNAL OF MATERIALS SCIENCE-MATERIALS IN ELECTRONICS[J]. 2020, 31(1): 26-33, https://www.webofscience.com/wos/woscc/full-record/WOS:000518400500005.
[82] Pan, Yu, Jia, Kunpeng, Huang, Kailiang, Wu, Zhenhua, Bai, Guobin, Yu, Jiahan, Zhang, Zhaohao, Zhang, Qingzhu, Yin, Huaxiang. Near-ideal subthreshold swing MoS2 back-gate transistors with an optimized ultrathin HfO2 dielectric layer. NANOTECHNOLOGY[J]. 2019, 30(9): http://dx.doi.org/10.1088/1361-6528/aaf956.
[83] Zhao, Zhiqian, Li, Yongliang, Wang, Guilei, Du, Anyan, Gu, Shihai, Li, Yan, Zhang, Qingzhu, Xu, Gaobo, Ma, Xueli, Wang, Xiaolei, Yang, Hong, Luo, Jun, Li, JunFeng, Yin, Huaxiang, Wang, Wenwu. A novel three-layer graded SiGe strain relaxed buffer for the high crystal quality and strained Si0.5Ge0.5 layer epitaxial grown. JOURNAL OF MATERIALS SCIENCE-MATERIALS IN ELECTRONICS[J]. 2019, 30(15): 14130-14135, https://www.webofscience.com/wos/woscc/full-record/WOS:000478863500030.
[84] 徐忍忍, 张青竹, 姚佳欣, 白国斌, 熊文娟, 顾杰, 殷华湘, 吴次南, 屠海令. 应用于堆叠纳米线MOS器件的STI工艺优化研究. 真空科学与技术学报[J]. 2019, 39(1): 65-70, http://lib.cqvip.com/Qikan/Article/Detail?id=6100204640.
[85] Ma, Xueli, Zhou, Lixing, Xiang, Jinjuan, Yang, Hong, Wang, Xiaolei, Li, Yongliang, Zhang, Jing, Zhao, Chao, Yin, Huaxiang, Wang, Wenwu, Ye, Tianchun. Identification of a suitable passivation route for high-k/SiGe interface based on ozone oxidation. APPLIED SURFACE SCIENCE[J]. 2019, 493: 478-484, http://dx.doi.org/10.1016/j.apsusc.2019.07.050.
[86] Xu Gaobo, Yin Huaxiang, Xu Qiuxia, Tao Guilong, Wu Zhenhua, Bo Jianhui, Bi Jinshun, Li Yongliang, Zhou Huajie, Shang Haiping, Liu Jinbiao, Li Junjie, Xiong Wenjuan, Li Junfeng, Zhu Huilong, Zhao Chao, Wang Wenwu, Claeys C, Huang R, Wu H, Lin Q, Liang S, Song P, Guo Z, Lai K, Zhang Y, Qu X, Lung HL, Yu W. A High-Performance Source-Pocket Tunnel Field-Effect Transistor. 2019 CHINA SEMICONDUCTOR TECHNOLOGY INTERNATIONAL CONFERENCE (CSTIC)null. 2019, http://apps.webofknowledge.com/CitedFullRecord.do?product=UA&colName=WOS&SID=5CCFccWmJJRAuMzNPjj&search_mode=CitedFullRecord&isickref=WOS:000490874500022.
[87] Zhao, Zhiqian, Li, Yongliang, Gu, Shihai, Zhang, Qingzhu, Wang, Guilei, Li, Junjie, Li, Yan, Xu, Gaobo, Ma, Xueli, Wang, Xiaolei, Yang, Hong, Lu, Jun, Li, JunFeng, Yin, Huaxiang, Wang, Wenwu. High crystal quality strained Si0.5Ge0.5 layer with a thickness of up to 50 nm grown on the three-layer SiGe strain relaxed buffer. MATERIALS SCIENCE IN SEMICONDUCTOR PROCESSING[J]. 2019, 99: 159-164, http://dx.doi.org/10.1016/j.mssp.2019.04.033.
[88] Ma, Xueli, Xiang, Jinjuan, Zhou, Lixing, Wang, Xiaolei, Li, Yongliang, Yang, Hong, Zhang, Jing, Zhao, Chao, Yin, Huaxiang, Wang, Wenwu, Ye, Tianchun. Comprehensive Study and Design of High-k/SiGe Gate Stacks with Interface-Engineering by Ozone Oxidation. ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY[J]. 2019, 8(6): N100-N105, [89] Pan, Yu, Yin, Huaxiang, Huang, Kailiang, Zhang, Zhaohao, Zhang, Qingzhu, Jia, Kunpeng, Wu, Zhenhua, Luo, Kun, Yu, Jiahan, Li, Junfeng, Wang, Wenwu, Ye, Tianchun. Novel 10-nm Gate Length MoS2 Transistor Fabricated on Si Fin Substrate. IEEE JOURNAL OF THE ELECTRON DEVICES SOCIETY[J]. 2019, 7(1): 483-488, [90] Zhou, Longda, Wang, Guilei, Yin, Xiaogen, Tang, Bo, Liu, Qianqian, Ji, Zhigang, Xu, Hao, Kong, Zhenzhen, Jiang, Haojie, Luo, Ying, Simoen, Eddy, Yang, Hong, Yin, Huaxiang, Du, Anyan, Zhu, Huilong, Zhao, Chao, Wang, Wenwu, Ye, Tianchun, IEEE. Comparison of NBTI kinetics in RMG Si p-FinFETs featuring Atomic Layer Deposition Tungsten (ALD W) Filling Metal Using B2H6 and SiH4 Precursors. 2019 IEEE 26TH INTERNATIONAL SYMPOSIUM ON PHYSICAL AND FAILURE ANALYSIS OF INTEGRATED CIRCUITS (IPFA)null. 2019, [91] Zhang, Yadong, Liu, Jiangtao, Pan, Yu, Luo, Kun, Yu, Jiahan, Zhang, Yongkui, Jia, Kunpeng, Yin, Huaxiang, Zhu, Huilong, Tian, Hanmin, Wu, Zhenhua. The evolution of MoS2 properties under oxygen plasma treatment and its application in MoS2 based devices. JOURNAL OF MATERIALS SCIENCE-MATERIALS IN ELECTRONICS[J]. 2019, 30(19): 18185-18190, http://dx.doi.org/10.1007/s10854-019-02172-5.
[92] Hou Zhaozhao, Yao Jiaxin, Gu Jie, Wu Zhenhua, Yin Huaxiang, Claeys C, Huang R, Wu H, Lin Q, Liang S, Song P, Guo Z, Lai K, Zhang Y, Qu X, Lung HL, Yu W. IMPACT OF ANNEALING TEMPERATURE ON PERFORMANCE ENHANCEMENT FOR CHARGE TRAPPING MEMORY WITH (HfO2)(0.9)(Al2O3)(0.1) TRAPPING LAYER. 2019 CHINA SEMICONDUCTOR TECHNOLOGY INTERNATIONAL CONFERENCE (CSTIC)null. 2019, http://apps.webofknowledge.com/CitedFullRecord.do?product=UA&colName=WOS&SID=5CCFccWmJJRAuMzNPjj&search_mode=CitedFullRecord&isickref=WOS:000490874500189.
[93] Hong, Peizhen, Xia, Zhiliang, Yin, Huaxiang, Li, Chunlong, Huo, Zongliang. A High Density and Low Cost Staircase Scheme for 3D NAND Flash Memory: SDS(Stair Divided Scheme). ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY[J]. 2019, 8(10): P567-P572, https://www.webofscience.com/wos/woscc/full-record/WOS:000488211700001.
[94] Yao Jiaxin, Hou Zhaozhao, Xiong Wenjuan, Zhang Qingzhu, Wu Zhenhua, Yin Huaxiang, Claeys C, Huang R, Wu H, Lin Q, Liang S, Song P, Guo Z, Lai K, Zhang Y, Qu X, Lung HL, Yu W. Comprehensive Investigation of Flat-band Voltage Modulation by High-K NPT for Advanced HKMG Technology. 2019 CHINA SEMICONDUCTOR TECHNOLOGY INTERNATIONAL CONFERENCE (CSTIC)null. 2019, http://apps.webofknowledge.com/CitedFullRecord.do?product=UA&colName=WOS&SID=5CCFccWmJJRAuMzNPjj&search_mode=CitedFullRecord&isickref=WOS:000490874500074.
[95] Zhang, Zhaohao, Xu, Gaobo, Zhang, Qingzhu, Hou, Zhaozhao, Li, Junjie, Kong, Zhenzhen, Zhang, Yongkui, Xiang, Jinjuan, Xu, Qiuxia, Wu, Zhenhua, Zhu, Huilong, Yin, Huaxiang, Wang, Wenwu, Ye, Tianchun. FinFET With Improved Subthreshold Swing and Drain Current Using 3-nm Ferroelectric Hf0.5Zr0.5O2. IEEE ELECTRON DEVICE LETTERS[J]. 2019, 40(3): 367-370, [96] Gu Jie, Hou Zhaozhao, Yao Jiaxin, Wu Zhenhua, Yin Huaxiang, Claeys C, Huang R, Wu H, Lin Q, Liang S, Song P, Guo Z, Lai K, Zhang Y, Qu X, Lung HL, Yu W. Investigation of Quantum-Dot Characteristic Based on Different Bulk Silicon FinFET Device Models. 2019 CHINA SEMICONDUCTOR TECHNOLOGY INTERNATIONAL CONFERENCE (CSTIC)null. 2019, http://apps.webofknowledge.com/CitedFullRecord.do?product=UA&colName=WOS&SID=5CCFccWmJJRAuMzNPjj&search_mode=CitedFullRecord&isickref=WOS:000490874500157.
[97] Yao, Jiaxin, Wu, Zhenhua, Yin, Huaxiang. Multi-Vt Performance Dependence on Capping Layer Position by NPT for PMOS Device Applications. ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY[J]. 2019, 8(8): N107-N112, [98] Zhang, Qingzhu, Tu, Hailing, Gu, Shihai, Zhang, Zhaohao, Wang, Guilei, Wei, Feng, Ma, Tongda, Zhao, Hongbin, Wei, Qianhui, Yin, Huaxiang, Fan, Yanyan, Jia, Rongguang, Yan, Jiang. Influence of Rapid Thermal Annealing on Ge-Si Interdiffusion in Epitaxial Multilayer Ge0.3Si0.7/Si Superlattices with Various GeSi Thicknesses. ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY[J]. 2018, 7(11): P671-P676, https://www.webofscience.com/wos/woscc/full-record/WOS:000449758200001.
[99] Yao, Jiaxin, Li, Jun, Luo, Kun, Yu, Jiahan, Zhang, Qingzhu, Hou, Zhaozhao, Gu, Jie, Yang, Wen, Wu, Zhenhua, Yin, Huaxiang, Wang, Wenwu. Physical Insights on Quantum Confinement and Carrier Mobility in Si, Si-0.45, Ge-0.55, Ge Gate-All-Around NSFET for 5nm Technology Node. IEEE JOURNAL OF THE ELECTRON DEVICES SOCIETY[J]. 2018, 6(1): 841-848, https://www.webofscience.com/wos/woscc/full-record/WOS:000441422600002.
[100] 侯朝昭, 王桂磊, 姚佳欣, 张青竹, 殷华湘. Improvement of Operation Characteristics for MONOS Charge Trapping Flash Memory with SiGe Buried Channel. 中国物理快报(英文版)[J]. 2018, 35(5): 110-114, http://lib.cqvip.com/Qikan/Article/Detail?id=675655193.
[101] Hou, Zhaozhao, Wu, Zhenhua, Yin, Huaxiang. The Effect of Thermal Treatment Induced Performance Improvement for Charge Trapping Memory with Al2O3/(HfO2)(0.9)(Al2O3)(0.1)/Al2O3 Multilayer Structure. ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY[J]. 2018, 7(12): Q229-Q234, https://www.webofscience.com/wos/woscc/full-record/WOS:000451560800001.
[102] Hou, ZhaoZhao, Wang, GuiLei, Yao, JiaXin, Zhang, QingZhu, Yin, HuaXiang. Improvement of Operation Characteristics for MONOS Charge Trapping Flash Memory with SiGe Buried Channel. CHINESE PHYSICS LETTERS[J]. 2018, 35(5): 110-114, http://lib.cqvip.com/Qikan/Article/Detail?id=675655193.
[103] Huaxiang Yin. Performance Enhancement for Charge Trapping Memory by Using Al2O3/HfO2/Al2O3 Tri-Layer High-k Dielectrics and High Work Function Metal Gate. ECS Journal of Solid State Science and Technology, 2018, 7 (6): N91-N95. 2018, [104] 曹志军, 张青竹, 吴次南, 闫江, 王桂磊, 李俊杰, 张兆浩, 殷华湘, 余金中, 李志华. 面向5 nm CMOS技术代堆叠纳米线释放工艺研究. 真空科学与技术学报[J]. 2018, 38(2): 121-126, http://lib.cqvip.com/Qikan/Article/Detail?id=674742416.
[105] Luo Qing, Gong Tiancheng, Cheng Yan, Zhang Qingzhu, Yu Haoran, Yu Jie, Ma Haili, Xu Xiaoxin, Huang Kailiang, Zhu Xi, Dong Danian, Yin Jiahao, Yuan Peng, Tai Lu, Gao Jianfeng, Li Junfeng, Yin Huaxiang, Long Shibing, Liu Qi, Lv Hangbing, Liu Ming, IEEE. Hybrid 1T e-DRAM and e-NVM Realized in One 10 nm node Ferro FinFET device with Charge Trapping and Domain Switching Effects. 2018 IEEE INTERNATIONAL ELECTRON DEVICES MEETING (IEDM)null. 2018, [106] Zhang Qingzhu, Tu Hailing, Yin Huaxiang, Wei Feng, Zhao Hongbin, Zhang Zhaohao, Gao Jianfeng, Wei Qianhui, Zhang Xiao, Zhou Zhangyu, Fan Yanyan, Yan Jiang, Jiang YL, Tang TA, Ye F. First observation of Pt3Si phase at Ni(0.86)Ptod(4) and Si Silicide Reactions. 2018 14TH IEEE INTERNATIONAL CONFERENCE ON SOLID-STATE AND INTEGRATED CIRCUIT TECHNOLOGY (ICSICT)null. 2018, 518-520, http://apps.webofknowledge.com/CitedFullRecord.do?product=UA&colName=WOS&SID=5CCFccWmJJRAuMzNPjj&search_mode=CitedFullRecord&isickref=WOS:000458919700152.
[107] Hou ZhaoZhao, Yin HuaXiang, Wu ZhenHua, Jiang YL, Tang TA, Ye F. Charge Trapping Memory with Al2O3/HfO2/Al2O3 Multilayer High-kappa Dielectric Stacks and High Work Function Metal Gate Featuring Improved Operation Efficiency. 2018 14TH IEEE INTERNATIONAL CONFERENCE ON SOLID-STATE AND INTEGRATED CIRCUIT TECHNOLOGY (ICSICT)null. 2018, 392-394, http://apps.webofknowledge.com/CitedFullRecord.do?product=UA&colName=WOS&SID=5CCFccWmJJRAuMzNPjj&search_mode=CitedFullRecord&isickref=WOS:000458919700118.
[108] Zhou Longda, Tang Bo, Yang Hong, Xu Hao, Li Yongliang, Simoen Eddy, Yin Huaxiang, Zhu Huilong, Zhao Chao, Wang Wenwu, Chen Dapeng, Ye Tianchun, IEEE. Physical Mechanism Underlying the Time Exponent Shift in the Ultra-fast NBTI of High-k/Metal gated p-CMOSFETs. 2018 25TH IEEE INTERNATIONAL SYMPOSIUM ON THE PHYSICAL AND FAILURE ANALYSIS OF INTEGRATED CIRCUITS (IPFA)null. 2018, [109] Yao, Jiaxin, Yin, Huaxiang, Wu, Zhenhua, Gao, Jianfeng, Zhang, Qingzhu, Hou, Zhaozhao, Gu, Jie, Luo, Kun. Comparative Investigation of Flat-Band Voltage Modulation by Nitrogen Plasma Treatment for Advanced HKMG Technology. ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY[J]. 2018, 7(8): Q152-Q158, https://www.webofscience.com/wos/woscc/full-record/WOS:000441151500002.
[110] Yang, Ling, Zhang, Qingzhu, Huang, Yunbo, Zheng, Zhongshan, Li, Bo, Li, Binhong, Zhang, Xingyao, Zhu, Huiping, Yin, Huaxiang, Guo, Qi, Luo, Jiajun, Han, Zhengsheng. Total Ionizing Dose Response and Annealing Behavior of Bulk nFinFETs With ON-State Bias Irradiation. IEEE TRANSACTIONS ON NUCLEAR SCIENCE[J]. 2018, 65(8): 1503-1510, https://www.webofscience.com/wos/woscc/full-record/WOS:000442363300007.
[111] Zhang Qingzhu, Tu Hailing, Yin Huaxiang, Wei Feng, Zhao Hongbin, Xue Chunling, Wei Qianhui, Zhang Zhaohao, Zhang Xiao, Zhang Shaoming, Han Qin, Li Yudong, Zhao Robert Chunhua, Yan Jiang, Li Junfeng, Wang Wenwu, IEEE. Si Nanowire Biosensors Using a FinFET Fabrication Process for Real Time Monitoring Cellular Ion Actitivies. 2018 IEEE INTERNATIONAL ELECTRON DEVICES MEETING (IEDM)null. 2018, [112] Yang, Hong, Luo, Weichun, Zhou, Longda, Xu, Hao, Tang, Bo, Simoen, Eddy, Yin, Huaxiang, Zhu, Huilong, Zhao, Chao, Wang, Wenwu, Ye, Tianchun. Impact of ALD TiN Capping Layer on Interface Trap and Channel Hot Carrier Reliability of HKMG nMOSFETs. IEEE ELECTRON DEVICE LETTERS[J]. 2018, 39(8): 1129-1132, https://www.webofscience.com/wos/woscc/full-record/WOS:000440006100003.
[113] Hou ZhaoZhao, Yin HuaXiang, Wu ZhenHua, Jiang YL, Tang TA, Ye F. Experimental Study of FinFET-based FOI-MAHAS Charge Trapping Memory with Improved Operation Characteristics. 2018 14TH IEEE INTERNATIONAL CONFERENCE ON SOLID-STATE AND INTEGRATED CIRCUIT TECHNOLOGY (ICSICT)null. 2018, 389-391, http://apps.webofknowledge.com/CitedFullRecord.do?product=UA&colName=WOS&SID=5CCFccWmJJRAuMzNPjj&search_mode=CitedFullRecord&isickref=WOS:000458919700117.
[114] Zhang, Qingzhu, Yin, Huaxiang, Meng, Lingkuan, Yao, Jiaxin, Li, Junjie, Wang, Guilei, Li, Yudong, Wu, Zhenhua, Xiong, Wenjuan, Yang, Hong, Tu, Hailing, Li, Junfeng, Zhao, Chao, Wang, Wenwu, Ye, Tianchun. Novel GAA Si Nanowire p-MOSFETs With Excellent Short-Channel Effect Immunity via an Advanced Forming Process. IEEE ELECTRON DEVICE LETTERS[J]. 2018, 39(4): 464-467, https://www.webofscience.com/wos/woscc/full-record/WOS:000428689000001.
[115] Zhang, Qingzhu, Tu, Hailing, Yin, Huaxiang, Wei, Feng, Li, Junjie, Meng, Lingkuan, Zhang, Zhaohao, Yan, Jiang, Zhao, Hongbin, Ma, Tongda, Zhou, Zhangyu, Fan, Yanyan, Du, Jun. Influence of the hard masks profiles on formation of nanometer Si scalloped fins arrays. MICROELECTRONIC ENGINEERING[J]. 2018, 198: 48-54, http://dx.doi.org/10.1016/j.mee.2018.07.001.
[116] Hou, Zhaozhao, Yao, Jiaxin, Wu, Zhenhua, Yin, Huaxiang. Investigation for the Feasibility of High-Mobility Channel in 3D NAND Memory. ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY[J]. 2018, 7(5): Q75-Q79, [117] Hou, Zhaozhao, Wu, Zhenhua, Yin, Huaxiang. Performance Enhancement for Charge Trapping Memory by Using Al2O3/HfO2/Al2O3 Tri-Layer High-kappa Dielectrics and High Work Function Metal Gate. ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY[J]. 2018, 7(6): N91-N95, https://www.webofscience.com/wos/woscc/full-record/WOS:000440836000007.
[118] 陶桂龙, 许高博, 殷华湘, 徐秋霞. 隧穿场效应晶体管的研究进展. 微纳电子技术[J]. 2018, 55(10): 707-718, http://lib.cqvip.com/Qikan/Article/Detail?id=676336906.
[119] 叶甜春, 徐秋霞, 朱慧珑, 陈大鹏, 赵超, 闫江, 王文武, 霍宗亮, 李俊峰, 殷华湘, 李东三, 张建勇, 王敬. 22纳米集成电路核心工艺技术及应用. 中国科技成果[J]. 2017, 1-1, http://lib.cqvip.com/Qikan/Article/Detail?id=672868011.
[120] Ma Xueli, Zhao Chao, Zhu Huilong, Yin Huaxiang, Wang Wenwu, Zhang Jianqi, Wang Xiaolei, Xiang Jinjuan, Yang Hong. Crystallization behaviors of ultrathin Al-doped HfO2 amorphous films grown by atomic layer deposition. CHIN. PHYS. B[J]. 2017, http://159.226.55.106/handle/172511/18092.
[121] Hou, Zhaozhao, Zhang, Qingzhu, Yin, Huaxiang, Xiang, Jinjuan, Qin, Changliang, Yao, Jiaxin, Gu, Jie. Fabrication and Characterization of p-Channel Charge Trapping Type FOI-FinFET Memory with MAHAS Structure. ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY[J]. 2017, 6(10): Q136-Q142, https://www.webofscience.com/wos/woscc/full-record/WOS:000418367600006.
[122] Wu, Zhenhua, Li, Jian, Li, Jun, Yin, Huaxiang, Liu, Yu. Tuning of few-electron states and optical absorption anisotropy in GaAs quantum rings. PHYSICAL CHEMISTRY CHEMICAL PHYSICS[J]. 2017, 19(44): 30048-30054, https://www.webofscience.com/wos/woscc/full-record/WOS:000415576800036.
[123] Wang, Guilei, Luo, Jun, Liu, Jinbiao, Yang, Tao, Xu, Yefeng, Li, Junfeng, Yin, Huaxiang, Yan, Jiang, Zhu, Huilong, Zhao, Chao, Ye, Tianchun, Radamson, Henry H. pMOSFETs Featuring ALD W Filling Metal Using SiH4 and B2H6 Precursors in 22 nm Node CMOS Technology. NANOSCALE RESEARCH LETTERS[J]. 2017, 12(1): http://dx.doi.org/10.1186/s11671-017-2080-2.
[124] Wu Zhenhua, Yin Huaxiang. Tuning of few-electron states and optical absorption anisotropy in GaAs quantum rings. PHYS. CHEM. CHEM. PHYS.[J]. 2017, http://159.226.55.106/handle/172511/18109.
[125] Wang, Guilei, Luo, Jun, Qin, Changliang, Liang, Renrong, Xu, Yefeng, Liu, Jinbiao, Li, Junfeng, Yin, Huaxiang, Yan, Jiang, Zhu, Huilong, Xu, Jun, Zhao, Chao, Radamson, Henry H, Ye, Tianchun. Integration of Highly Strained SiGe in Source and Drain with HK and MG for 22 nm Bulk PMOS Transistors. NANOSCALE RESEARCH LETTERS[J]. 2017, 12(1): http://dx.doi.org/10.1186/s11671-017-1908-0.
[126] Luo Jiajun, Li Binhong, Zhang Qingzhu, Zhu Huiping, Yin Huaxiang, Han Zhengsheng, Huang Yunbo, Yang Ling, Zheng Zhongshan, Li Bo. Anomalous Total Dose Response and Room-Temperature Annealing Behavior in Bulk nFinFETs. 2017, http://159.226.55.106/handle/172511/18253.
[127] Yin Huaxiang, Luo Jun, Henry Homayoun Radamson, Wang Guilei, Zhao Chao, Zhu Huilong, Qin Zhangliang. Optimization of Selective Growth of SiGe for Source/Drain in 14nm and Beyond Nodes FinFETs. INTERNATIONAL JOURNAL OF HIGH SPEED ELECTRONICS AND SYSTEMS[J]. 2017, http://159.226.55.106/handle/172511/18100.
[128] Hou, ZhaoZhao, Wang, GuiLei, Xiang, JinJuan, Yao, JiaXin, Wu, ZhenHua, Zhang, QingZhu, Yin, HuaXiang. Improved Operation Characteristics for Nonvolatile Charge-Trapping Memory Capacitors with High-kappa Dielectrics and SiGe Epitaxial Substrates. CHINESE PHYSICS LETTERS[J]. 2017, 34(9): https://www.webofscience.com/wos/woscc/full-record/WOS:000412913300023.
[129] Zhao Chao, Zhong Huicai, Yin Huaxiang, Zhang Qingzhu, Luo Jun, Zhu Huilong. FinFETs on Insulator with Silicided Source/Drain. 2017, http://159.226.55.106/handle/172511/18278.
[130] Xu, Miao, Zhu, Huilong, Zhang, Yanbo, Xu, Qiuxia, Zhang, Yongkui, Qin, Changliang, Zhang, Qingzhu, Yin, Huaxiang, Xu, Hao, Chen, Shuai, Luo, Jun, Li, Chunlong, Zhao, Chao, Ye, Tianchun. Two methods of tuning threshold voltage of bulk FinFETs with replacement high-k metal-gate stacks. SOLID-STATE ELECTRONICS[J]. 2017, 129: 52-60, http://dx.doi.org/10.1016/j.sse.2016.12.016.
[131] Wu Zhenhua, Yao Jiaxin, Xiang Jinjuan, Yin Huaxiang, Zhang Qingzhu, Hou Chaozhao, Wang Guilei. Improved Operation Characteristics for Nonvolatile Charge-Trapping Memory Capacitors with High-Dielectrics and SiGe Epitaxial Substrates. CHINESE PHYSICS LETTERS[J]. 2017, 34(9): 097304-1, http://lib.cqvip.com/Qikan/Article/Detail?id=673439333.
[132] Ma, XueLi, Yang, Hong, Xiang, JinJuan, Wang, XiaoLei, Wang, WenWu, Zhang, JianQi, Yin, HuaXiang, Zhu, HuiLong, Zhao, Chao. Crystallization behaviors of ultrathin Al-doped HfO2 amorphous films grown by atomic layer deposition. CHINESE PHYSICS B[J]. 2017, 26(2): https://www.webofscience.com/wos/woscc/full-record/WOS:000402250000001.
[133] 姚佳欣, 侯朝昭, 殷华湘. 垂直纳米线晶体管的制备技术. 半导体技术[J]. 2017, 42(4): 283-292, [134] 侯朝昭, 王桂磊, 项金娟, 姚佳欣, 吴振华, 张青竹, 殷华湘. Improved Operation Characteristics for Nonvolatile Charge-Trapping Memory Capacitors with High-κ Dielectrics and SiGe Epitaxial Substrates. 中国物理快报(英文版)[J]. 2017, 34(9): 95-99, http://lib.cqvip.com/Qikan/Article/Detail?id=673439333.
[135] Qin, Changliang, Yin, Huaxiang, Wang, Guilei, Hong, Peizhen, Ma, Xiaolong, Cui, Hushan, Lu, Yihong, Meng, Lingkuan, Yin, Haizhou, Zhong, Huicai, Yan, Jiang, Zhu, Huilong, Xu, Qiuxia, Li, Junfeng, Zhao, Chao, Radamson, Henry H. Study of sigma-shaped source/drain recesses for embedded-SiGe pMOSFETs. MICROELECTRONIC ENGINEERING[J]. 2017, 181: 22-28, http://dx.doi.org/10.1016/j.mee.2017.07.001.
[136] 王桂磊, 罗军, 秦长亮, 崔虎山, 刘金彪, 贾昆鹏, 李俊杰, 杨涛, 李俊峰, 殷华湘, 赵超, 叶甜, Henry Homayoun Radamson, 闫江, 赵超, 叶甜春. Integration of Selective Epitaxial Growth of SiGe/Ge layers in 14nm Node FinFETs. ECS TRANSACTIONS[J]. 2016, http://159.226.55.106/handle/172511/16224.
[137] Zhang Qingzhu, Yin Huaxiang, Luo Jun, Yang Hong, Meng Lingkuan, Li Yudong, Wu Zhenhua, Zhang Yanbo, Zhang Yongkui, Qin Zhangliang, Li Junjie, Gao Jianfeng, Wang Guilei, Xiong Wenjuan, Xiang Jinjuan, Zhou Zhangyu, Mao Shujuan, Xu Gaobo, Liu Jinbiao, Yang Tao. FOI FinFET with Ultra-low Parasitic Resistance Enabled by Fully Metallic Source and Drain Formation on Isolated Bulk-Fin. 2016 IEEE International Electron Devices Meeting: IEDM 2016, San Francisco, California, USA, 3-7 December 2016, pages 452-929, v.2null. 2016, 452-455, http://159.226.55.106/handle/172511/16336.
[138] 王桂磊, 秦长亮, 殷华湘, 段宁远, 杨涛, 李俊峰, 闫江, 朱慧珑. Study of SiGe selective epitaxial process integration with high-k and metal gate for 16/14 nm nodes FinFET technology. MICROELECTRONICS ENGINEERING[J]. 2016, http://159.226.55.106/handle/172511/16195.
[139] Li, ZhenJie, Jia, YunCong, Hu, LingFei, Liu, Peng, Yin, HuaXiang. Study of silicon pixel sensor for synchrotron radiation detection. CHINESE PHYSICS C[J]. 2016, 40(3): http://ir.ihep.ac.cn/handle/311005/247946.
[140] Qin, Changliang, Wang, Guilei, Hong, Peizhen, Liu, Jinbiao, Yin, Huaxiang, Yin, Haizhou, Ma, Xiaolong, Cui, Hushan, Lu, Yihong, Meng, Lingkuan, Xiang, Jinjuan, Zhong, Huicai, Zhu, Huilong, Xu, Qiuxia, Li, Junfeng, Yan, Jian, Zhao, Chao, Radamson, Henry H. Process optimizations to recessed e-SiGe source/drain for performance enhancement in 22 nm all-last high-k/metal-gate pMOSFETs. SOLID-STATE ELECTRONICS[J]. 2016, 123: 38-43, http://dx.doi.org/10.1016/j.sse.2016.05.017.
[141] Wang, Guilei, Qin, Changliang, Yin, Huaxiang, Luo, Jun, Duan, Ningyuan, Yang, Ping, Gao, Xingyu, Yang, Tao, Li, Junfeng, Yan, Jiang, Zhu, Huilong, Wang, Wenwu, Chen, Dapeng, Ye, Tianchun, Zhao, Chao, Radamson, Henry H. Study of SiGe selective epitaxial process integration with high-k and metal gate for 16/14 nm nodes FinFET technology. MICROELECTRONIC ENGINEERING[J]. 2016, 163: 49-54, http://dx.doi.org/10.1016/j.mee.2016.06.002.
[142] Xiang, Jinjuan, Zhang, Yanbo, Li, Tingting, Wang, Xiaolei, Gao, Jianfeng, Yin, Huaxiang, Li, Junfeng, Wang, Wenwu, Ding, Yuqiang, Xu, Chongying, Zhao, Chao. Investigation of thermal atomic layer deposited TiAlX (X = N or C) film as metal gate. SOLID-STATE ELECTRONICS[J]. 2016, 122: 64-69, http://dx.doi.org/10.1016/j.sse.2016.04.006.
[143] 赵治国, 张永奎, 朱慧珑, 张严波, 秦长亮, 张青竹, 张月, 赵超, 存储器研发中心. 小尺寸器件的金属栅平坦化新技术. 真空科学与技术学报[J]. 2016, 36(9): 1030-1033, http://159.226.55.106/handle/172511/16102.
[144] Wang, Guilei, Abedin, Ahmad, Moeen, Mandi, Kolandouz, Mohammadreza, Luo, Jun, Guo, Yiluan, Chen, Tao, Yin, Huaxiang, Zhu, Huilong, Li, Junfeng, Zhao, Chao, Radamson, Henry H. Integration of highly-strained SiGe materials in 14 nm and beyond nodes FinFET technology. SOLID-STATE ELECTRONICS[J]. 2015, 103: 222-228, http://dx.doi.org/10.1016/j.sse.2014.07.008.
[145] Xu, Weijia, Yin, Huaxiang, Ma, Xiaolong, Hong, Peizhen, Xu, Miao, Meng, Lingkuan. Novel 14-nm Scallop-Shaped FinFETs (S-FinFETs) on Bulk-Si Substrate. NANOSCALE RESEARCH LETTERS[J]. 2015, 10(1): http://www.irgrid.ac.cn/handle/1471x/1091060.
[146] Ma, Xiaolong, Yin, Huaxiang, Hong, Peizhen, Xu, Weijia. Self-Aligned Fin-On-Oxide (FOO) FinFETs for Improved SCE Immunity and Multi-V-TH Operation on Si Substrate. ECS SOLID STATE LETTERS[J]. 2015, 4(4): Q13-Q16, http://www.irgrid.ac.cn/handle/1471x/1091061.
[147] Zhang, Yanbo, Zhu, Huilong, Wu, Hao, Zhang, Yongkui, Zhao, Zhiguo, Zhong, Jian, Yang, Hong, Liang, Qingqing, Wang, Dahai, Li, Junfeng, Jia, Cheng, Liu, Jinbiao, Zhao, Yuyin, Li, Chunlong, Meng, Lingkuan, Hong, Peizhen, Li, Junjie, Xu, Qiang, Gao, Jianfeng, He, Xiaobin, Lu, Yihong, Zhang, Yue, Yang, Tao, Wang, Yao, Cui, Hushan, Zhao, Chao, Yin, Huaxiang, Zhong, Huicai, Yin, Haizhou, Yan, Jiang, Wang, Wenwu, Chen, Dapeng, Yu, Hongyu, Yang, Simon, Ye, Tianchun. Planar Bulk MOSFETs With Self-Aligned Pocket Well to Improve Short-Channel Effects and Enhance Device Performance. IEEE TRANSACTIONS ON ELECTRON DEVICES[J]. 2015, 62(5): 1411-1418, http://www.irgrid.ac.cn/handle/1471x/1091057.
[148] Xu Miao, Yin Huaxiang, Zhu Huilong, Ma Xiaolong, Xu Weijia, Zhang Yongkui, Zhao Zhiguo, Luo Jun, Yang Hong, Li Chunlong, Meng Lingkuan, Hong Peizheng, Xiang Jinjuan, Gao Jianfeng, Xu Qiang, Xiong Wenjuan, Wang Dahai, Li Junfeng, Zhao Chao, Chen Dapeng, Yang Simon, Ye Tianchun. Device parameter optimization for sub-20 nm node HK/MG-last bulk FinFETs. JOURNAL OF SEMICONDUCTORS[J]. 2015, 36(4): 044007-1, http://www.irgrid.ac.cn/handle/1471x/1091059.
[149] Xiang, Jinjuan, Li, Tingting, Zhang, Yanbo, Wang, Xiaolei, Gao, Jianfeng, Cui, Hushan, Yin, Huaxiang, Li, Junfeng, Wang, Wenwu, Ding, Yuqiang, Xu, Chongying, Zhao, Chao. Investigation of TiAlC by Atomic Layer Deposition as N Type Work Function Metal for FinFET. ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY[J]. 2015, 4(12): P441-P444, http://dx.doi.org/10.1149/2.0231512jss.
[150] Xu, Miao, Zhu, Huilong, Zhao, Lichuan, Yin, Huaxiang, Zhong, Jian, Li, Junfeng, Zhao, Chao, Chen, Dapeng, Ye, Tianchun. Improved Short Channel Effect Control in Bulk FinFETs With Vertical Implantation to Form Self-Aligned Halo and Punch-Through Stop Pocket. IEEE ELECTRON DEVICE LETTERS[J]. 2015, 36(7): 648-650, http://www.irgrid.ac.cn/handle/1471x/1091058.
[151] 明希, 殷华湘, 孟令款, 李俊杰, 贾云丛, 李贞杰, 袁烽, 江晓山, 刘鹏, 陈大鹏. 单型掺杂柱电极的3D硅像素探测器的器件与制造工艺研究. 半导体光电[J]. 2015, 36(2): 197-201, http://www.irgrid.ac.cn/handle/1471x/1091064.
[152] Ma, Xiaolong, Yin, Huaxiang, Hong, Peizhen. Gate-All-Around Silicon Nanowire Transistors with channel-last process on bulk Si substrate. IEICE ELECTRONICS EXPRESS[J]. 2015, 12(7): http://10.10.10.126/handle/311049/15041.
[153] 杨君, 殷华湘, 贾云丛, 李贞杰. 3D硅基探测器研究现状. 电子元件与材料[J]. 2015, 34(9): 1-6, http://www.irgrid.ac.cn/handle/1471x/1091063.
[154] Ma Xueli, Yang Hong, Wang Wenwu, Yin Huaxiang, Zhu Huilong, Zhao Chao, Chen Dapeng, Ye Tianchun. The effects of process condition of top-TiN and TaN thickness on the effective work function of MOSCAP with high-k/metal gate stacks. JOURNAL OF SEMICONDUCTORS[J]. 2014, 35(10): 106002-1-106002-3, http://www.irgrid.ac.cn/handle/1471x/1091039.
[155] Ma Xueli, Yang Hong, Wang Wenwu, Yin Huaxiang, Zhu Huilong, Zhao Chao, Chen Dapeng, Ye Tianchun. An effective work-function tuning method of nMOSCAP with high-k/metal gate by TiN/TaN double-layer stack thickness. JOURNAL OF SEMICONDUCTORS[J]. 2014, 35(9): 96001-1-96001-4, http://www.irgrid.ac.cn/handle/1471x/1091038.
[156] Qin Changliang, Yin Haizhou, Yin Huaxiang, Wang Guilei, Hong Peizhen, Yang Tao, Lu Yihong, Xu Qiang, Zhao Zhiguo, Cui Hushan, Zhao Chao, Lin Q, Claeys C, Huang D, Wu H, Kuo Y, Huang R, Lai K, Zhang Y, Guo Z, Wang S, Liu R, Jiang T, Song P, Lam C, Xiong J, Chen K. Integration Issue of Tensile SiN Liner for Dual Stress Liner(DSL) in Gate-Last High-k/Metal Gate( HKMG) Process Flow. CHINA SEMICONDUCTOR TECHNOLOGY INTERNATIONAL CONFERENCE 2013 (CSTIC 2013)null. 2013, 52(1): 677-681, [157] Xu GaoBo, Xu QiuXia, Yin HuaXiang, Zhou HuaJie, Yang Tao, Niu JieBin, Yu JiaHan, Li JunFeng, Zhao Chao. A high performance HfSiON/TaN NMOSFET fabricated using a gate-last process. CHINESE PHYSICS B[J]. 2013, 22(11): https://www.webofscience.com/wos/woscc/full-record/WOS:000327485400078.
[158] Fu Zuozhen, Yin Huaxiang, Ma Xiaolong, Chai Shumin, Gao Jianfeng, Chen Dapeng. Structure design and film process optimization for metal-gate stress in 20 nm nMOS devices. JOURNAL OF SEMICONDUCTORS[J]. 2013, 34(6): 066002-1, http://www.irgrid.ac.cn/handle/1471x/1091023.
[159] Yin, Huaxiang, Meng, Lingkuan, Yang, Tao, Xu, Gaobo, Xu, Qiuxia, Zhao, Chao, Chen, Dapeng. CMP-Less Planarization Technology with SOG/LTO Etchback for Low-Cost High-k/Metal Gate-Last Integration. ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY[J]. 2013, 2(6): P268-P270, http://www.irgrid.ac.cn/handle/1471x/1091022.
[160] 许高博, 徐秋霞, 殷华湘, 周华杰, 杨涛, 牛洁斌, 贺晓彬, 孟令款, 余嘉晗, 李俊峰, 闫江, 赵超, 陈大鹏. Characterization of HfSiAlON/MoAlN PMOSFETs Fabricated by Using a Novel Gate-Last Process. CHINESE PHYSICS LETTERS[J]. 2013, 30(8): 156-159, https://www.webofscience.com/wos/woscc/full-record/WOS:000323733900040.
[161] 孟令款, 殷华湘, 徐秋霞, 陈大鹏, 叶甜春. 金属栅回刻平坦化技术. 真空科学与技术学报[J]. 2012, 32(9): 793-797, http://sciencechina.cn/gw.jsp?action=detail.jsp&internal_id=4644630&detailType=1.
[162] Zhou Huajie, Song Yi, Xu Qiuxia, Li Yongliang, Yin Huaxiang. Fabrication of Bulk-Si FinFET using CMOS compatible process. MICROELECTRONIC ENGINEERING[J]. 2012, 94: 26-32, http://dx.doi.org/10.1016/j.mee.2012.01.004.
[163] Meng Lingkuan, Yin Huaxiang, Chen Dapeng, Ye Tianchun. Metal gate etch-back planarization technology. 半导体学报[J]. 2012, 33(3): 036001-1, http://lib.cqvip.com/Qikan/Article/Detail?id=41141607.
[164] Lee, MyoungJae, Kim, Sun I, Lee, Chang B, Yin, Huaxiang, Ahn, SeungEon, Kang, Bo S, Kim, Ki H, Park, Jae C, Kim, Chang J, Song, Ihun, Kim, Sang W, Stefanovich, Genrikh, Lee, Jung H, Chung, Seok J, Kim, Yeon H, Park, Youngsoo. Low-Temperature-Grown Transition Metal Oxide Based Storage Materials and Oxide Transistors for High-Density Non-volatile Memory. ADVANCED FUNCTIONAL MATERIALS[J]. 2009, 19(10): 1587-1593, [165] Yin, Huaxiang, Kim, Sunil, Lim, Hyuck, Min, Yosep, Kim, Chang Jung, Song, Ihun, Park, Jaechul, Kim, SangWook, Tikhonovsky, Alexander, Hyun, Jaewoong, Park, Youngsoo. Program/erase characteristics of amorphous gallium indium zinc oxide nonvolatile memory. IEEE TRANSACTIONS ON ELECTRON DEVICES[J]. 2008, 55(8): 2071-2077, https://www.webofscience.com/wos/woscc/full-record/WOS:000257950300041.
[166] Song, Ihun, Kim, Sunil, Yin, Huaxiang, Kim, Chang Jung, Park, Jaechul, Kim, Sangwook, Choi, Hyuk Soon, Lee, Eunha, Park, Youngsoo. Short channel characteristics of Gallium-Indium-Zinc-Oxide thin film transistors for three-dimensional stacking memory. IEEE ELECTRON DEVICE LETTERS[J]. 2008, 29(6): 549-552, http://dx.doi.org/10.1109/LED.2008.920965.
[167] Yin, Huaxiang, Xianyu, Wenxu, Tikhonovsky, Alexander, Park, Young Soo. Scalable 3-D fin-like poly-Si TFT and its nonvolatile memory application. IEEE TRANSACTIONS ON ELECTRON DEVICES[J]. 2008, 55(2): 578-584, https://www.webofscience.com/wos/woscc/full-record/WOS:000252688300017.
[168] Lim, Hyuck, Yin, Huaxiang, Park, JinSeong, Song, Ihun, Kim, Changjung, Park, JaeChul, Kim, Sunil, Kim, SangWook, Lee, Chang Bum, Kim, Yong C, Park, Young Soo, Kang, Donghun. Double gate GaInZnO thin film transistors. APPLIED PHYSICS LETTERS[J]. 2008, 93(6): https://www.webofscience.com/wos/woscc/full-record/WOS:000258491000071.
[169] Yin, Huaxiang, Kim, Sunil, Kim, Chang Jung, Song, Ihun, Park, Jaechul, Kim, Sangwook, Park, Youngsoo. Fully transparent nonvolatile memory employing amorphous oxides as charge trap and transistor's channel layer. APPLIED PHYSICS LETTERS[J]. 2008, 93(17): https://www.webofscience.com/wos/woscc/full-record/WOS:000260571800040.
[170] 殷华湘, 徐秋霞. 体硅CMOS FinFET结构与特性研究. 电子学报[J]. 2005, 33(8): 1484-1486, http://lib.cqvip.com/Qikan/Article/Detail?id=20030452.
[171] 殷华湘, 徐秋霞. 体硅衬底上的CMOS FinFET. 半导体学报[J]. 2003, 24(4): 351-356, http://lib.cqvip.com/Qikan/Article/Detail?id=7594861.
[172] 殷华湘, 王云翔, 刘明, 徐秋霞. 电子束曝光UV3正性抗蚀剂的工艺研究. 微电子学[J]. 2003, 33(6): 485-489, http://lib.cqvip.com/Qikan/Article/Detail?id=8819362.
[173] 殷华湘, 徐秋霞. 亚50nm自对准双栅MOSFET的结构设计. 半导体学报[J]. 2002, 23(12): 1267-1274, http://lib.cqvip.com/Qikan/Article/Detail?id=7128888.

科研活动

   
科研项目
( 1 ) 22纳米关键工艺技术先导研究与平台建设, 参与, 国家任务, 2009-01--2014-04
( 2 ) 16纳米硅基三维器件复合应变沟道集成, 负责人, 研究所自选, 2011-01--2014-01
( 3 ) 集成电路关键技术研究, 负责人, 中国科学院计划, 2011-01--2014-01
( 4 ) 体硅FinFET 与关键工艺研究, 负责人, 国家任务, 2013-01--2015-12
( 5 ) 先进同步辐射探测技术, 参与, 中国科学院计划, 2013-01--2016-12
( 6 ) 用于同步辐射的硅像素探测器关键技术研究, 负责人, 国家任务, 2014-01--2018-12
( 7 ) 14nm FinFET关键工艺技术优化研究, 负责人, 企业委托, 2015-01--2018-12
( 8 ) 半导体二维原子晶体材料的器件构建、集成与性能, 负责人, 国家任务, 2016-07--2021-07
( 9 ) 小像素二维探测器, 参与, 国家任务, 2017-01--2020-12
( 10 ) 基于多元硅漂移探测器的高效同步辐射荧光谱仪, 负责人, 中国科学院计划, 2017-01--2018-12
( 11 ) 5nm可集成堆叠纳米线环栅器件和新型FinFET及关键工艺, 负责人, 国家任务, 2017-01--2020-12
( 12 ) 单芯片纳米级三维功能融合, 负责人, 研究所自选, 2019-01--2020-12
( 13 ) 3-1纳米集成电路新器件与先导工艺, 参与, 中国科学院计划, 2019-10--2020-09
( 14 ) 负电容FinFET高性能电路研究, 负责人, 研究所自选, 2019-07--2021-07
( 15 ) 新型极低功耗铁电场效应管存算一体器件研究, 负责人, 国家任务, 2021-01--2024-12
( 16 ) 面向3nm节点及以下GAA器件HKMG叠层结构及阈值调控关键技术研究, 负责人, 企业委托, 2021-01--2022-12
( 17 ) 堆叠纳米片围栅CMOS器件及集成技术研究, 负责人, 企业委托, 2021-06--2024-05
( 18 ) 超高帧频大动态范围X射线探测系统, 参与, 国家任务, 2022-01--2026-12
参与会议
(1)面向3nm以下节点的新器件工艺前瞻研究   全国电子信息青年科学家论坛暨第三届半导体青年学术会议   2020-10-28
(2)Si Nanowire Biosensors Using a FinFET Fabrication Process   国际电子器件大会   Qingzhu Zhang   2018-12-04
(3)FinFET及Post-FinFET 关键技术研究    第319期东方科技论坛“集成电路新器件技术”学术研讨会   殷华湘   2018-11-14
(4)FOI FinFET with Ultra-low Parasitic Resistance Enabled by Fully Metallic Source and Drain Formation on Isolated Bulk-Fin   国际电子器件大会   Qingzhu Zhang, Huaxiang Yin*, Jun Luo et al.   2016-12-03
(5)Diamond Like Carbon Thin Films with Extremely High Compressive Stress 8-12GPa for Advanced CMOS Strain Engineering   国际材料大会(春季)   Xiaolong Ma   2013-04-09
(6)CMPless Planarization Technology with SOG/LTO Etchback for Low Cost 70nm Gate-Last Process   中国国际半导体技术大会   Huaxiang Yin, Lingkuan Men, Tao Yang, Gaobo Xu, Qiuxia Xu, Chao Zhao and   2011-03-13
(7)High performance low voltage amorphous oxide TFT Enhancement/Depletion inverter through uni-/bi-layer channel hybrid integration   国际电子器件大会   Huaxiang Yin, Sunil Kim, Jaechul Park, Ihun Song, Sang-Wook Kim, Jihyun Hur, Sungho Park, Sanghun Jeon, Chang Jung Kim   2009-12-07
(8)Bootstrapped ring oscillator with propagation delay time below 1.0 nsec/stage by standard 0.5μm bottom-gate amorphous Ga2O3-In2O3-ZnO TFT technology   国际电子器件大会   Huaxiang Yin, Sunil Kim, Chang Jung Kim, Jae Chul Park, Ihun Song, Sang-Wook Kim, Sung-Hoon Lee, Youngsoo Park,    2008-12-15

指导学生

已指导学生

徐唯佳  硕士研究生  085209-集成电路工程  

明希  硕士研究生  085208-电子与通信工程  

杨君  硕士研究生  085209-集成电路工程  

侯朝昭  博士研究生  080903-微电子学与固体电子学  

马小龙  博士研究生  080903-微电子学与固体电子学  

姚佳欣  博士研究生  080903-微电子学与固体电子学  

秦长亮  博士研究生  080903-微电子学与固体电子学  

陶桂龙  硕士研究生  085209-集成电路工程  

蔡豫威  博士研究生  080903-微电子学与固体电子学  

张璐  硕士研究生  080903-微电子学与固体电子学  

顾杰  博士研究生  080903-微电子学与固体电子学  

徐忍忍  博士研究生  080903-微电子学与固体电子学  

现指导学生

罗彦娜  博士研究生  080903-微电子学与固体电子学  

曹磊  博士研究生  080903-微电子学与固体电子学  

张学祥  博士研究生  080903-微电子学与固体电子学  

赵朋  博士研究生  080903-微电子学与固体电子学  

魏延钊  硕士研究生  080903-微电子学与固体电子学  

田国良  博士研究生  080903-微电子学与固体电子学  

张兆浩  博士研究生  080903-微电子学与固体电子学  

李庆坤  硕士研究生  080903-微电子学与固体电子学  

钟琨  硕士研究生  080903-微电子学与固体电子学  

包运娇  博士研究生  080903-微电子学与固体电子学  

颜刚平  博士研究生  080903-微电子学与固体电子学  

朱晓晖  博士研究生  080903-微电子学与固体电子学  

孙朋  博士研究生  080903-微电子学与固体电子学