发表论文
[1] DILSHAD AHMAD, Jing Xu, Jun Luo, Na Zhou, Jianfeng Gao, 卢一泓. Achieving ultralow contact resistance and reducing residual hydrogen by surface doping. Applied Surface Science[J]. 2024, 第 3 作者 通讯作者 672(160891): [2] Yanping He, Shujuan Mao, Jing Xu, Xianglie Sun, Xu Chen, Jianfeng Gao, Weibing Liu, Jinbiao Liu, Junfeng Liu, Guilei Wang, CHAO ZHAO, Jun Luo. Optimizing Cobalt Silicide Interfaces with Carbon PAI: Enhanced Thermal Stability and Reduced Specific Contact Resistivity for DRAM Applications. IEEE TRANSACTIONS ON ELECTRON DEVICES[J]. 2024, 第 12 作者 通讯作者 71(10): [3] Yan, Zijin, Zhu, Huilong, Huang, Weixing, Yang, Hong, Wang, Qi, Lu, Shunshun, Yang, Shuai, Li, Junjie, Zhou, Na, Zhang, Yue, Shi, Yunfei, Xiang, Liang, Liu, Wenliang, Wang, Binghui, Zhang, Yongkui, Li, Junfeng, Luo, Jun, Ye, T C. A Common Source 3D FeFET with Disturb Inhibition Program and Erase Method. ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY[J]. 2024, 第 17 作者13(6): http://dx.doi.org/10.1149/2162-8777/ad57f1.[4] 刘恩序, 李俊杰, 刘阳, 杨超然, 周娜, 李俊峰, 罗军, 王文武. 环栅晶体管制备中SiGe选择性刻蚀技术综述. 材料导报[J]. 2024, 第 7 作者38(9): 14-20, http://sciencechina.cn/gw.jsp?action=detail.jsp&internal_id=7738855&detailType=1.[5] Haoyue Zhang, shengyang li, Jing Xu, Xianglie Sun, Jing Xia, 佘广为, Yu, Jiacheng, Changzhou Ru, Jun Luo, xiangmin meng, lixuan mu, wensheng shi. Dissolution-induced surface reconstruction of Ni0.95Pt0.05Si/p-Si photocathode for efficient photoelectrochemical H2 production. Small[J]. 2024, 第 9 作者 通讯作者 null(null): 2311738, [6] Yang, Chengchang, Zou, Sinan, Chen, Xu, Gao, Jianfeng, Liu, Weibing, Yang, Meiyin, Xu, Jing, Kang, Jin, Bu, Weihai, Zheng, Kai, Cui, Yan, Luo, Jun. The fabrication of spin transfer torque-based magnetoresistive random access memory cell with ultra-low switching power. JAPANESE JOURNAL OF APPLIED PHYSICS[J]. 2024, 第 12 作者 通讯作者 63(5): http://dx.doi.org/10.35848/1347-4065/ad38c6.[7] Su, Xueyin, Xu, Binbin, Tang, Bo, Xu, Jing, Liu, Jinbiao, Cui, Yan, Yang, Meiyin, Chen, Bohan, Tong, Keyou, Zhao, Guanyuan, Li, Binhong, Wang, Xiaolei, Ye, Tianchun, Luo, Jun. Comparative Cryogenic Investigation of FD-SOI Devices with Doped Epitaxial and Metallic Source/Drain. ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY[J]. 2024, 第 14 作者13(6): http://dx.doi.org/10.1149/2162-8777/ad4de0.[8] Li, YanRu, Yang, MeiYin, Yu, GuoQiang, Cui, BaoShan, Liu, JinBiao, Li, YongLiang, Shao, QiMing, Luo, Jun. XOR spin logic operated by unipolar current based on field-free spin-orbit torque switching induced by a lateral interface. RARE METALS[J]. 2024, 第 8 作者 通讯作者 43(8): 3868-3875, http://dx.doi.org/10.1007/s12598-024-02713-w.[9] Wei, Yanzhao, Yao, Jiaxin, Zhang, Qingzhu, Sang, Guanqiao, Bao, Yunjiao, Gao, Jianfeng, Li, Junfeng, Luo, Jun, Yin, Huaxiang. Sub-5-Å La 2 O 3 In Situ Dipole Technique for Large V FB Modulation With EOT Reduction and Improved Interface for HKMG Technology. IEEE TRANSACTIONS ON ELECTRON DEVICES[J]. 2024, 第 8 作者71(1): 746-751, http://dx.doi.org/10.1109/TED.2023.3335900.[10] Gong, Shuaiyu, Yang, Meiyin, Li, Yanru, Shen, Bowen, Li, Yongliang, Yu, Peiyue, Cui, Yan, Luo, Jun. Manipulation of Synthetic Antiferromagnetic Skyrmion by Reduced Voltage via Design of Double Interface Structure. IEEE ELECTRON DEVICE LETTERS[J]. 2024, 第 8 作者 通讯作者 45(5): 821-824, http://dx.doi.org/10.1109/LED.2024.3382686.[11] Shen, Bowen, Yang, Meiyin, Li, Yanru, Yu, Peiyue, Gao, Jianfeng, Cui, Baoshan, Yu, Guoqiang, Luo, Jun. Field-free magnetic switching dependence on lateral interfaces in synthetic antiferromagnets by ion implantation. APPLIED PHYSICS LETTERS[J]. 2024, 第 8 作者 通讯作者 124(1): http://dx.doi.org/10.1063/5.0174124.[12] 颜紫金, 朱慧珑, 杨红, 李俊杰, 卢顺顺, 张琛琛, 杨帅, Tianyu Bai, Kaiqiang Zhao, Liang Xiang, 张永奎, 李俊峰, 罗军, 叶甜春. A Study on the Channel Holes' Diameter Effects of High-Performance Vertical-Channel Flash Memory Cells. ECS Journal of Solid State Science and Technology[J]. 2024, 第 13 作者13(10): [13] Xu Chen, Jing Xu, Shujuan Mao, Chang Liu, Jinbiao Liu, XiangLie Sun, Yanping He, Guilei Wang, CHAO ZHAO, Jun Luo. Role of ultra-thin tungsten interlayer in blocking nitrogen diffusion and reducing specific contact resistivity in titanium/n+-Si ohmic contacts. Journal of Materials Science: Materials in Electronics[J]. 2024, 第 10 作者 通讯作者 35(34): [14] Meiyin Yang, Yan Cui, Chen, Jingsheng, 罗军. Materials, Processes, Devices and Applications of Magnetoresistive Random Access Memory. International Journal of Extreme Manufacturing[J]. 2024, 第 4 作者 通讯作者 7(12010): [15] Xu Chen, Jing Xu, Shujuan Mao, Yanping He, Jianfeng Gao, Weibing Liu, Xianglie Sun, Guilei Wang, Chao Zhao, Jun Luo. Enhanced Thermal Stability and Reduced Specific Contact Resistivity in Titanium-Based Ohmic Contact With an Ultra-Thin Molybdenum Interlayer. Ieee Electron Device Letters[J]. 2024, 第 10 作者 通讯作者 45(7): 1281-1284, [16] 欧祥鹏, 杨在利, 唐波, 李志华, 罗军, 王文武, 杨妍. 2.5D/3D硅基光电子集成技术及应用. 光通信研究[J]. 2023, 第 5 作者1-16, http://lib.cqvip.com/Qikan/Article/Detail?id=7108809383.[17] Wei Cao, Jia Chen, Peiyue Yu, Lei Zhao, Yanru Li, Meiyin Yang, Jing Xu, Jianfeng Gao, Bingjun Yang, Lei Yue, Zuo Chao, Yan Cui, Luo Jun. The effect of γ-ray irradiation on voltage-controlled magnetism of HfZrO/CoFeB Hall bar device. JOURNAL OF MAGNETISM AND MAGNETIC MATERIALS[J]. 2023, 第 13 作者 通讯作者 575: http://dx.doi.org/10.1016/j.jmmm.2023.170695.[18] Wang, Xiaolei, Cui, Shuainan, Yang, Meiyin, Zhao, Lei, Tan, Bi, Liu, Tao, Wang, Guangcheng, Deng, Jinxiang, Luo, Jun. Tuning crystal orientation and chiral spin order in Mn3Ge by annealing process and ion implantation. NANOTECHNOLOGY[J]. 2023, 第 9 作者 通讯作者 34(31): http://dx.doi.org/10.1088/1361-6528/acce40.[19] Zhang, Zhaohao, Zhan, Guohui, Gan, Weizhuo, Cheng, Yan, Zhang, Xumeng, Peng, Yue, Tang, Jianshi, Zhang, Fan, Huo, Jiali, Xu, Gaobo, Zhang, Qingzhu, Wu, Zhenhua, Liu, Yan, Lv, Hangbing, Liu, Qi, Han, Genquan, Yin, Huaxiang, Luo, Jun, Wang, Wenwu. Ultralow-Power Compact Artificial Synapse Based on a Ferroelectric Fin Field-Effect Transistor for Spatiotemporal Information Processing. ADVANCED INTELLIGENT SYSTEMS[J]. 2023, 第 18 作者5(11): http://dx.doi.org/10.1002/aisy.202300275.[20] Zhao, Yongkang, Wang, Junlin, Xu, Lianxin, Yu, Peiyue, Hou, Mingxuan, Meng, Fei, Xie, Shuai, Meng, Yufei, Zhu, Ronggui, Hou, Zhipeng, Yang, Meiyin, Luo, Jun, Wu, Jing, Xu, Yongbing, Gao, Xingsen, Feng, Chun, Yu, Guanghua. Local Manipulation of Skyrmion Nucleation in Microscale Areas of a Thin Film with Nitrogen-Ion Implantation. ACS APPLIED MATERIALS & INTERFACES[J]. 2023, 第 12 作者15(11): 15004-15013, http://dx.doi.org/10.1021/acsami.3c00266.[21] Sun, Xianglie, Luo, Jun, Liu, Yaodong, Xu, Jing, Gao, Jianfeng, Liu, Jinbiao, Zhou, Xuebing, He, Yanping, Kong, Mengjuan, Li, Yongliang, Li, Junfeng, Wang, Wenwu, Ye, Tianchun. A Refined Ladder Transmission Line Model for the Extraction of Significantly Low Specific Contact Resistivity. IEEE TRANSACTIONS ON ELECTRON DEVICES[J]. 2023, 第 2 作者 通讯作者 70(1): 209-214, [22] 曹磊, 张青竹, 姚佳欣, 李俊杰, 刘艳, 罗彦娜, 孔真真, 周娜, 高建峰, 卢一泓, 贺晓彬, 韩江浩, 吴振华, 李俊峰, 罗军, 殷华湘. Investigation of Fabricated CMOS FishboneFETs and TreeFETs With Strained SiGe Nano-Fins on Bulk-Si Substrate. Ieee Electron Device Letters[J]. 2023, 第 15 作者44(9): 1396-1399, [23] Chang, Hao, Wang, Guilei, Yang, Hong, Liu, Qianqian, Zhou, Longda, Ji, Zhigang, Yu, Ruixi, Wu, Zhenhua, Yin, Huaxiang, Du, Anyan, Li, Junfeng, Luo, Jun, Zhao, Chao, Wang, Wenwu. Insight into over Repair of Hot Carrier Degradation by GIDL Current in Si p-FinFETs Using Ultra-Fast Measurement Technique. NANOMATERIALS[J]. 2023, 第 12 作者13(7): http://dx.doi.org/10.3390/nano13071259.[24] Sun, Xianglie, Xu, Jing, Gao, Jianfeng, Liu, Jinbiao, He, Yanping, Chen, Xu, Kong, Mengjuan, Li, Yongliang, Li, Junfeng, Wang, Wenwu, Ye, Tianchun, Luo, Jun. Investigation of ultrathin yttrium silicide for NMOS source/drain contacts. JOURNAL OF MATERIALS SCIENCE-MATERIALS IN ELECTRONICS[J]. 2023, 第 12 作者 通讯作者 34(15): http://dx.doi.org/10.1007/s10854-023-10660-y.[25] Tian, Guoliang, Chen, Jia, Yan, Gangping, Li, Lianlian, Song, Zhiyu, Yang, Shangbo, Zhang, Zhaohao, Xu, Gaobo, Yin, Huaxiang, Yang, Shuai, Luo, Yanna, Bi, Jinshun, Wu, Zhenhua, Wang, Guilei, Zhao, Chao, Luo, Jun, Wang, Wenwu. Highly Reliable Logic-in-Memory by Bidirectional Built-in Electric- Field-Modulated Multistate IGZO/AFE Nonvolatile Memory. ACS APPLIED ELECTRONIC MATERIALS. 2023, 第 16 作者http://dx.doi.org/10.1021/acsaelm.2c01542.[26] 肖忠睿, 王琪. Vertical N-Type and P-Type Nanosheet FETs With C-Shaped Channel. IEEE TRANSACTIONS ON ELECTRON DEVICES[J]. 2023, [27] Yu, Peiyue, Zhao, Lei, Gao, Jianfeng, Wang, Wenwu, Luo, Jun, Yang, Meiyin. Annealing effect on the magneto-electric properties of SOT-MTJs from micro to nano-sized dimensions. JAPANESE JOURNAL OF APPLIED PHYSICS[J]. 2023, 第 5 作者62(SH): http://dx.doi.org/10.35848/1347-4065/acceab.[28] Fei Zhao, Xiaofeng Jia, Huaizhi Luo, Jiayi Zhang, XiaoTong Mao, Yan Li, Jun Luo, Wenwu Wang, Yongliang Li. Hybrid integrated Si nanosheet GAA-FET and stacked SiGe/Si FinFET using selective channel release strategy. MICROELECTRONIC ENGINEERING[J]. 2023, 第 7 作者275: http://dx.doi.org/10.1016/j.mee.2023.111993.[29] Chang, Hao, Liu, Qianqian, Yang, Hong, Zhou, Longda, Ji, Zhigang, Tang, Bo, Zhang, Qingzhu, Yin, Huaxiang, Du, Anyan, Li, Junfeng, Luo, Jun, Wang, Wenwu. Effectiveness of Repairing Hot Carrier Degradation in Si p-FinFETs Using Gate Induced Drain Leakage. IEEE ELECTRON DEVICE LETTERS[J]. 2023, 第 11 作者44(3): 372-375, http://dx.doi.org/10.1109/LED.2023.3241444.[30] Zhao, Lei, Yang, Meiyin, Gao, Jianfeng, Yang, Tengzhi, Cui, Yan, Xu, Jing, Li, Junfeng, Xiang, Qingyi, Li, Wenjing, Luo, Feilong, Ye, Li, Luo Jun. Enhancement of Magnetic and Electric Transport Performance of Perpendicular Spin-Orbit Torque Magnetic Tunnel Junction by Stop-on-MgO Etching Process. IEEE ELECTRON DEVICE LETTERS[J]. 2023, 第 12 作者 通讯作者 44(3): 408-411, http://dx.doi.org/10.1109/LED.2023.3236835.[31] Liu, Enxu, Li, Junjie, Zhou, Na, Chen, Rui, Shao, Hua, Gao, Jianfeng, Zhang, Qingzhu, Kong, Zhenzhen, Lin, Hongxiao, Zhang, Chenchen, Lai, Panpan, Yang, Chaoran, Liu, Yang, Wang, Guilei, Zhao, Chao, Yang, Tao, Yin, Huaxiang, Li, Junfeng, Luo, Jun, Wang, Wenwu. Study of Selective Dry Etching Effects of 15-Cycle Si0.7Ge0.3/Si Multilayer Structure in Gate-All-Around Transistor Process. NANOMATERIALS[J]. 2023, 第 19 作者13(14): http://dx.doi.org/10.3390/nano13142127.[32] Li, Shuai, Luo, Jun, Ye, Tianchun. Investigation of Reducing Interface State Density in 4H-SiC by Increasing Oxidation Rate. NANOMATERIALS[J]. 2023, 第 2 作者 通讯作者 13(9): http://dx.doi.org/10.3390/nano13091568.[33] Zhang, Yongkui, Li, Yangyang, Zhu, Huilong, Wang, Qi, Du, Yong, Lu, Shunshun, Li, Junjie, Kong, Zhenzhen, He, Xiaobin, Liu, Jinbiao, Li, Chen, Huang, Weixing, Xie, Lu, Xiao, Zhongrui, Xu, Gaobo, Wang, Guilei, Zhao, Chao, Luo, Jun. First Demonstration of Vertical Sandwich GAA TFETs with Self-Aligned High-k Metal Gates and Abrupt Doping Tunneling Junctions. ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY[J]. 2023, 第 18 作者12(7): http://dx.doi.org/10.1149/2162-8777/ace8bb.[34] 刘阳, 李俊杰, 吴次南, 张青竹, 王桂磊, 周娜, 高建峰, 孔真真, 韩江浩, 罗彦娜, 刘恩序, 杨涛, 李俊峰, 殷华湘, 罗军, 王文武. 面向水平GAA内侧墙模块的干法Si0.7Ge0.3选择性刻蚀研究. 真空科学与技术学报[J]. 2023, 第 15 作者43(5): 396-402, http://cjvst.cvs.org.cn/article/doi/10.13922/j.cnki.cjvst.202212018.[35] Liu, Jinbiao, Xu, Jing, Cui, Hengwei, Sun, Xianglie, Mao, Shujuan, Miao, Yuanhao, Yu, Jiahan, Han, Jianghao, Kong, ZhenZhen, Yang, Tao, Li, Junfeng, Luo, Jun. Formation of Highly-Activated N-Type Shallow Junction in Germanium Using Nanosecond Laser Annealing and Fluorine Co-Doping. ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY[J]. 2023, 第 12 作者 通讯作者 12(5): http://dx.doi.org/10.1149/2162-8777/acd721.[36] Wei, Yanzhao, Yao, Jiaxin, Zhang, Qingzhu, Sang, Guanqiao, Bao, Yunjiao, Gao, Jianfeng, Li, Junfeng, Luo, Jun, Yin, Huaxiang. Sub-5-Å La 2 O 3 In Situ Dipole Technique for Large V FB Modulation With EOT Reduction and Improved Interface for HKMG Technology. IEEE TRANSACTIONS ON ELECTRON DEVICES. 2023, 第 8 作者http://dx.doi.org/10.1109/TED.2023.3335900.[37] JiaChen, PeiyueYu, LeiZhao, YanruLi, MeiyinYang, JingXu, JianfengGao, WeibingLiu, JunfengLi, WenwuWang, JinKang, WeihaiBu, KaiZheng, BingjunYang, LeiYue, ChaoZuo, YanCui, JunLuo. Charge-mediated voltage modulation of magnetism in Hf0.5Zr0.5O2/Co multiferroic heterojunction. Chinese Physics B[J]. 2023, 第 18 作者 通讯作者 32(2): 27504-027504, https://cpb.iphy.ac.cn/EN/10.1088/1674-1056/ac9a3b.[38] Zhang, Zhaohao, Zhang, Fan, Zhang, Yadong, Xu, Gaobo, Wu, Zhenhua, Zhang, Qingzhu, Li, Yongliang, Yin, Huaxiang, Luo, Jun, Wang, Wenwu, Ye, Tianchun. Ultradense One-Memristor Ternary-Content-Addressable Memory Based on Ferroelectric Diodes. IEEE ELECTRON DEVICE LETTERS[J]. 2023, 第 9 作者44(1): 64-67, http://dx.doi.org/10.1109/LED.2022.3223335.[39] Zhou, Xuebing, Xu, Jing, 高建峰, Liu, Jinbiao, Zhang, Dan, Liu, Yaodong, Sun, Xianglie, Kong, Mengjuan, Li, Yongliang, Li, Junfeng, Wang, Wenwu, Ye, Tianchun, Luo, Jun. Time-dependent dielectric breakdown (TDDB) for Co0.65Ti0.35 as a single barrier/liner in local Co interconnects. JOURNAL OF MATERIALS SCIENCE-MATERIALS IN ELECTRONICS[J]. 2022, 第 13 作者 通讯作者 33(17): 14063-14070, http://dx.doi.org/10.1007/s10854-022-08337-z.[40] Zhang, Haoyue, 佘广为, Xu, Jing, Li, Shengyang, Liu, Yun, Luo, Jun, Shi, Wensheng. Electrochemical surface reconstructed Ptx(x=2,3)Si/PtSi/p-Si photocathodes for achieving high efficiency in photoelectrochemical H-2 generation. JOURNAL OF MATERIALS CHEMISTRY A[J]. 2022, 第 6 作者 通讯作者 10(9): 4952-4959, http://dx.doi.org/10.1039/d1ta09346k.[41] Tengzhi Yang, Meiyin Yang, Jun Luo, 高建峰. Field-Free Deterministic Writing of Spin-Orbit Torque Magnetic Tunneling Junction by Unipolar Current. Ieee Electron Device Letters[J]. 2022, 第 3 作者 通讯作者 43(5): 709, [42] Chen, Jia, Zhao, Lei, Tian, Guoliang, Yang, Tengzhi, Cao, Wei, Xu, Jing, 高建峰, Li, Junfeng, Wang, Wenwu, Kang, Jin, Bu, Weihai, Zheng, Kai, Yang, Bingjun, Yue, Lei, Cui, Yan, Luo, Jun. Highly efficient voltage-controlled magnetism in HfZrO/CoFeB hybrid film and Hall device. JAPANESE JOURNAL OF APPLIED PHYSICS[J]. 2022, 第 16 作者 通讯作者 61(SJ): http://dx.doi.org/10.35848/1347-4065/ac5a29.[43] Yanru Li, 杨美音, Jun Luo. Temperature response of non-hysteresis magnetic switching by electrical current. JOURNALOFMATERIALSSCIENCEMATERIALSINELECTRONICS[J]. 2022, 第 3 作者33: 6681-6688, [44] yanru Li, Meiyin Yang, Guoqiang Yu, Baoshan Cui, Jun Luo. Current controlled non-hysteresis magnetic switching in the absence of magnetic field. Applied Physics Letters[J]. 2022, 第 5 作者 通讯作者 120: 062402, [45] Cao, Wei, Gao, Jianfeng, Yang, Meiyin, Xu, Jing, Cui, Yan, Luo, Jun. The heavy ions irradiation effects on advanced spin transfer torque materials. JOURNALOFMAGNETISMANDMAGNETICMATERIALS[J]. 2022, 第 6 作者 通讯作者 542: http://dx.doi.org/10.1016/j.jmmm.2021.168579.[46] Zhao, Lei, Yang, Meiyin, 高建峰, Yang, Tengzhi, Cui, Yan, Xu, Jing, Li, Junfeng, Yang, Bingjun, Yue, Lei, Zuo, Chao, Luo, Jun. Spin Logic Operated by Unipolar Voltage Inputs. IEEE ELECTRON DEVICE LETTERS[J]. 2022, 第 11 作者 通讯作者 43(8): 1239-1242, [47] Shujuan Mao, Jianfeng Gao, Xiaobin He, Weibing Liu, Jinbiao Liu, Guilei Wang, Na Zhou, Yanna Luo, Lei Cao, Ran Zhang, Haochen Liu, Xun Li, Yongliang Li, Zhenhua Wu, Junfeng Li, Jun Luo, Chao Zhao, Wenwu Wang, Huaxiang Yin. Low-Temperature (≤500 °C) Complementary Schottky Source/Drain FinFETs for 3D Sequential Integration. NANOMATERIALS[J]. 2022, 第 16 作者12: https://doaj.org/article/718ad3c0a2d44cbcb194c795c597a267.[48] Gu, Jie, Zhang, Qingzhu, Wu, Zhenhua, Luo, Yanna, Cao, Lei, Cai, Yuwei, Yao, Jiaxin, Zhang, Zhaohao, Xu, Gaobo, Yin, Huaxiang, Luo, Jun, Wang, Wenwu. Narrow Sub-Fin Technique for Suppressing Parasitic-Channel Effect in Stacked Nanosheet Transistors. IEEE JOURNAL OF THE ELECTRON DEVICES SOCIETY[J]. 2022, 第 11 作者10: 35-39, http://dx.doi.org/10.1109/JEDS.2021.3130123.[49] 李昱东, 张兆浩, 闫江, 唐波, 张青竹, 罗军. 超薄Hf0.5Zr0.5O2铁电薄膜制备及在ETSOI器件应用研究. 稀有金属. 2022, 第 6 作者46(4): 480-487, https://d.wanfangdata.com.cn/periodical/xyjs202204009.[50] Zhang, Zhaohao, Li, Yudong, Xu, Jing, Tang, Bo, Xiang, Jinjuan, Li, Junjie, Zhang, Qingzhu, Wu, Zhenhua, Yin, Huaxiang, Luo, Jun, Wang, Wenwu. Improved Subthreshold Characteristics by Back-Gate Coupling on Ferroelectric ETSOI FETs. NANOSCALE RESEARCH LETTERS[J]. 2022, 第 10 作者17(1): http://dx.doi.org/10.1186/s11671-022-03767-4.[51] Zhang, Dan, Su, Xiaojing, Chang, Hao, Xu, Hao, Wang, Xiaolei, He, Xiaobin, Li, Junjie, Zhao, Fei, Yao, Qide, Luo, Yanna, Ma, Xueli, Yang, Hong, Li, Yongliang, Wu, Zhenhua, Su, Yajuan, Yang, Tao, Wei, Yayi, Du, Anyan, Zhu, Huilong, Li, Junfeng, Yin, Huaxiang, Luo, Jun, Ye, Tianchun, Wang, Wenwu. Advanced process and electron device technology. TSINGHUA SCIENCE AND TECHNOLOGY[J]. 2022, 第 22 作者27(3): 534-558, http://sciencechina.cn/gw.jsp?action=detail.jsp&internal_id=7290433&detailType=1.[52] Xu, Renren, Yao, Jiaxin, Xu, Gaobo, Wei, Yanzhao, Yin, Huaxiang, Zhang, Qingzhu, Tian, Guoliang, Wang, Yanrong, Yan, Gangping, Xiang, Jinjuan, Bu, Weihai, Wu, Yongqin, Wu, Zhenhua, Luo, Jun, Wang, Wenwu. Experimental Investigation of Ultrathin Al2O3 Ex-Situ Interfacial Doping Strategy on Laminated HKMG Stacks via ALD. IEEE TRANSACTIONS ON ELECTRON DEVICES[J]. 2022, 第 14 作者69(4): 1964-1971, http://dx.doi.org/10.1109/TED.2022.3152976.[53] Li, Peng, Qiu, Pengfei, Xu, Qing, Luo, Jun, Xiong, Yifei, Xiao, Jie, Aryal, Niraj, Li, Qiang, Chen, Lidong, Shi, Xun. Colossal Nernst power factor in topological semimetal NbSb2. NATURE COMMUNICATIONS[J]. 2022, 第 4 作者13(1): http://dx.doi.org/10.1038/s41467-022-35289-z.[54] Liu, Yaodong, Sun, Xianglie, Xu, Jing, 高建峰, Liu, Jinbiao, Zhou, Xuebing, Li, Yongliang, Li, Junfeng, Wang, Wenwu, Ye, Tianchun, Luo, Jun. Insertion of Hafnium Interlayer to Improve the Thermal Stability of Ultrathin TiSix in TiSix/n(+)-Si Ohmic Contacts. IEEE TRANSACTIONS ON ELECTRON DEVICES[J]. 2022, 第 11 作者 通讯作者 69(6): 3347-3352, http://dx.doi.org/10.1109/TED.2022.3166719.[55] Zhang, Zhaohao, Gan, Weizhuo, Li, Junjie, Kong, Zhenzhen, Han, Yanchu, Liu, Yang, Wang, Guilei, Wu, Zhenhua, Yu, Jiahan, Zhang, Qingzhu, Xu, Gaobo, Zhang, Yongkui, Xiang, Jinjuan, Yin, Huaxiang, Luo, Jun, Wang, Wenwu. Scallop-shaped p-type FinFETs with improved short-channel effects immunity and driving current. MATERIALSSCIENCEINSEMICONDUCTORPROCESSING[J]. 2022, 第 15 作者140: http://dx.doi.org/10.1016/j.mssp.2021.106337.[56] Chai, Junshuai, Xu, Hao, Xiang, Jinjuan, Zhang, Yuanyuan, Zhao, Shujing, Tian, Fengbin, Duan, Jiahui, Han, Kai, Wang, Xiaolei, Luo, Jun, Wang, Wenwu, Ye, Tianchun. First-principles study of oxygen vacancy defects in orthorhombic Hf$_{0.5}$Zr$_{0.5}$O$_2$/SiO$_2$/Si gate stack. 2022, 第 10 作者http://arxiv.org/abs/2204.13864.[57] Cheng, Xiaohong, Li, Yongliang, Zhao, Fei, Chen, Anlan, Liu, Haoyan, Li, Chun, Zhang, Qingzhu, Yin, Huaxiang, Luo, Jun, Wang, Wenwu. 4-Levels Vertically Stacked SiGe Channel Nanowires Gate-All-Around Transistor with Novel Channel Releasing and Source and Drain Silicide Process. NANOMATERIALS[J]. 2022, 第 9 作者12(5): http://dx.doi.org/10.3390/nano12050889.[58] Shujuan Mao, Jianfeng Gao, Xiaobing He, Weibing Liu, Na Zhou, Yanna Luo, Lei Cao, Yanpeng Hu, Yongkui Zhang, Jinbiao Liu, Guilei Wang, Tingting Li, Zhenhua Wu, Yongliang Li, Junfeng Li, Jun Luo, Chao Zhao, Wenwu Wang, Huaxiang Yin. Low-temperature (≤550°C) p-channel Schottky barrier SOI FinFETs for monolithic 3D integration. MICROELECTRONIC ENGINEERING[J]. 2022, 第 16 作者260: [59] Yu, Peiyue, 高建峰, Yu, Guoqiang, Cui, Baoshan, Cui, Yan, Yang, Bingjun, Yue, Lei, Zuo, Chao, Wang, Wenwu, Luo, Jun, Yang, Meiyin. Different correlations between spin Hall angle measured by the 2nd harmonic method and by the critical current density due to dimension effect in W/Ta multilayers. JOURNAL OF MAGNETISM AND MAGNETIC MATERIALS[J]. 2022, 第 10 作者554: http://dx.doi.org/10.1016/j.jmmm.2022.169319.[60] Mao, Shujuan, Liu, Jinbiao, Wang, Yao, Liu, Weibin, Yao, Jiaxin, Hu, Yanpeng, Cui, Hengwei, Kong, Zhenzhen, Zhang, Ran, Liu, Haochen, Wang, Zhenxing, Li, Tingting, Zhou, Na, Zhang, Yongkui, Gao, Jianfeng, Wu, Zhenhua, Li, Yongliang, Li, Junfeng, Luo, Jun, Wang, Wenwu, Yin, Huaxiang. Ultralow Contact Resistivity on Ga-Doped Ge with Contact Co-Implantation of Ge and B. ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY[J]. 2022, 第 19 作者11(5): http://dx.doi.org/10.1149/2162-8777/ac697a.[61] Mao, Shujuan, Gao, Jianfeng, He, Xiaobin, Liu, Weibing, Liu, Jinbiao, Wang, Guilei, Zhou, Na, Luo, Yanna, Cao, Lei, Zhang, Ran, Liu, Haochen, Li, Xun, Li, Yongliang, Wu, Zhenhua, Li, Junfeng, Luo, Jun, Zhao, Chao, Wang, Wenwu, Yin, Huaxiang. Low-Temperature (<= 500 degrees C) Complementary Schottky Source/Drain FinFETs for 3D Sequential Integration. NANOMATERIALS[J]. 2022, 第 16 作者12(7): http://dx.doi.org/10.3390/nano12071218.[62] Chai, Junshuai, Xu, Hao, Xiang, Jinjuan, Zhang, Yuanyuan, Zhou, Lixing, Zhao, Shujing, Tian, Fengbin, Duan, Jiahui, Han, Kai, Wang, Xiaolei, Luo, Jun, Wang, Wenwu, Ye, Tianchun, Guo, Yuzheng. First-principles study of oxygen vacancy defects in orthorhombic Hf0.5Zr0.5O2/SiO2/Si gate stack. JOURNAL OF APPLIED PHYSICS[J]. 2022, 第 11 作者132(10): http://dx.doi.org/10.1063/5.0106750.[63] Li, Mengzhao, Fan, Yunyun, Jia, Xuewei, Cui, Han, Liang, Zhijun, Zhao, Mei, Yang, Tao, Wu, Kewei, Li, Shuqi, Yu, Chengjun, Liu, Bo, Wang, Wei, Yang, Xuan, Tan, Yuhang, Shi, Xin, da Costa, Joao Guimaraes, Heng, Yuekun, Xu, Gaobo, Zhai, Qionghua, Yan, Gangping, Ding, Mingzheng, Luo, Jun, Yin, Huaxiang, Li, Junfeng, Howard, Alissa, Kramberger, Gregor. Effects of Shallow Carbon and Deep N plus plus Layer on the Radiation Hardness of IHEP-IME LGAD Sensors. IEEE TRANSACTIONS ON NUCLEAR SCIENCE[J]. 2022, 第 22 作者69(5): 1098-1103, http://dx.doi.org/10.1109/TNS.2022.3161048.[64] Tian, Guoliang, Xu, Gaobo, Yin, Huaxiang, Yan, Gangping, Zhang, Zhaohao, Li, Lianlian, Sun, Xiaoting, Chen, Jia, Zhang, Yadong, Bi, Jinshun, Xiang, Jinjuan, Liu, Jinbiao, Wu, Zhenhua, Luo, Jun, Wang, Wenwu. Improved Ferroelectricity and Endurance of Hf0.5Zr0.5O2 Thin Films in Low Thermal Budget with Novel Bottom Electrode Doping Technology. ADVANCED MATERIALS INTERFACES[J]. 2022, 第 14 作者9(24): [65] Zhang, Zhaohao, Luo, Yanna, Cui, Yan, Yang, Hong, Zhang, Qingzhu, Xu, Gaobo, Wu, Zhenhua, Xiang, Jinjuan, Liu, Qianqian, Yin, Huaxiang, Mao, Shujuan, Wang, Xiaolei, Li, Junjie, Zhang, Yongkui, Luo, Qing, 高建峰, Xiong, Wenjuan, Liu, Jinbiao, Li, Yongliang, Li, Junfeng, Luo, Jun, Wang, Wenwu. A Polarization-Switching, Charge-Trapping, Modulated Arithmetic Logic Unit for In-Memory Computing Based on Ferroelectric Fin Field-Effect Transistors. ACS APPLIED MATERIALS & INTERFACES[J]. 2022, 第 21 作者14(5): 6967-6976, http://dx.doi.org/10.1021/acsami.1c20189.[66] 王文武, 罗军, 王晓磊, 徐昊. 全球集成电路技术合作研发的发展现状及其经验启示. 前瞻科技. 2022, 第 2 作者10-19, http://lib.cqvip.com/Qikan/Article/Detail?id=00002FKCL3787JP0MLDO6JP1MLR.[67] Yan, Gangping, Yang, Hong, Liu, Weibing, Zhou, Na, Hu, Yanpeng, Shi, Yunfei, 高建峰, Tian, Guoliang, Zhang, Yadong, Fan, Linjie, Wang, Guilei, Xu, Gaobo, Bi, Jinshun, Yin, Huaxiang, Zhao, Chao, Luo, Jun. Mechanism Analysis of Ultralow Leakage and Abnormal Instability in InGaZnO Thin-Film Transistor Toward DRAM. IEEE TRANSACTIONS ON ELECTRON DEVICES[J]. 2022, 第 16 作者69(5): 2417-2422, http://dx.doi.org/10.1109/TED.2022.3159266.[68] Tian, Jiajia, He, Yujuan, Zhang, Qingzhu, Wu, Cinan, Cao, Lei, Yao, Jiaxin, Mao, Shujuan, Luo, Yanna, Zhang, Zhaohao, Li, Yongliang, Xu, Gaobo, Li, Bo, Han, Yanchu, Liu, Yang, Li, Junjie, Wu, Zhenhua, Wang, Guilei, Kong, Zhenzhen, Liu, Jinbiao, Yang, Hong, Zhang, Yongkui, Radamson, Henry H, Yin, Huaxiang, Luo, Jun, Wang, Wenwu. Improving Driving Current with High-Efficiency Landing Pads Technique for Reduced Parasitic Resistance in Gate-All-Around Si Nanosheet Devices. ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY[J]. 2022, 第 24 作者11(3): http://dx.doi.org/10.1149/2162-8777/ac5d64.[69] Tengzhi Yang, Yan Cui, Yanru Li, Meiyin Yang, Jing Xu, Huiming He, Shiyu Wang, Jing Zhang, Jun Luo. The effect ofγ-ray irradiation on the SOT magnetic films and Hall devices. 半导体学报:英文版[J]. 2021, 第 9 作者42(2): 108-112, http://lib.cqvip.com/Qikan/Article/Detail?id=7104120146.[70] Liu, Haoyan, Li, Yongliang, Cheng, Xiaohong, Zan, Ying, Lu, Yihong, Wang, Guilei, Li, Junjie, Kong, Zhenzhen, Ma, Xueli, Wang, Xiaolei, Yang, Hong, Luo, Jun, Wang, Wenwu. Fabrication and selective wet etching of Si0.2Ge0.8/Ge multilayer for Si0.2Ge0.8 channel gate-all-around MOSFETs. MATERIALS SCIENCE IN SEMICONDUCTOR PROCESSING[J]. 2021, 第 12 作者121: http://dx.doi.org/10.1016/j.mssp.2020.105397.[71] Zhang, Qingzhu, Gu, Jie, Xu, Renren, Cao, Lei, Li, Junjie, Wu, Zhenhua, Wang, Guilei, Yao, Jiaxin, Zhang, Zhaohao, Xiang, Jinjuan, He, Xiaobin, Kong, Zhenzhen, Yang, Hong, Tian, Jiajia, Xu, Gaobo, Mao, Shujuan, Radamson, Henry H, Yin, Huaxiang, Luo, Jun. Optimization of Structure and Electrical Characteristics for Four-Layer Vertically-Stacked Horizontal Gate-All-Around Si Nanosheets Devices. NANOMATERIALS[J]. 2021, 第 19 作者11(3): https://www.ncbi.nlm.nih.gov/pmc/articles/PMC7998492/.[72] Zhang, Dan, Fu, Chaochao, Xu, Jing, Zhao, Chao, Gao, Jianfeng, Liu, Yaodong, Li, Menghua, Li, Junfeng, Wang, Wenwu, Chen, Dapeng, Ye, Tianchun, Wu, Dongping, Luo, Jun. NiSi/p(+)-Si(n(+)-Si)/n-Si(p-Si) Diodes With Dopant Segregation (DS): p-n or Schottky Junctions?. IEEE TRANSACTIONS ON ELECTRON DEVICES[J]. 2021, 第 13 作者 通讯作者 68(6): 2886-2891, http://dx.doi.org/10.1109/TED.2021.3075199.[73] Zhang, Dan, Zhao, Chao, Xu, Jing, 高建峰, Liu, Jinbiao, Liu, Yaodong, Li, Menghua, Zhou, Xuebing, Sun, Xianglie, Li, Yongliang, Li, Junfeng, Wang, Wenwu, Chen, Dapeng, Ye, Tianchun, Luo, Jun. A Novel Method to Reduce Specific Contact Resistivity of TiSix/n(+)-Si Contacts by Employing an In-Situ Steam Generation Oxidation Prior to Ti Silicidation. IEEE ELECTRON DEVICE LETTERS[J]. 2021, 第 15 作者 通讯作者 42(7): 958-961, [74] Li, Shengyang, Zhang, Haoyue, 佘广为, Xu, Jing, Zhang, Shaoyang, Deng, Yuwang, Mu, Lixuan, Zhou, Qingli, Liu, Yun, Luo, Jun, Shi, Wensheng. NiSi2/p-Si Schottky Junction Photocathode with a High-Quality Epitaxial Interface for Efficient Hydrogen Evolution. ACS APPLIED ENERGY MATERIALS[J]. 2021, 第 10 作者 通讯作者 4(10): 11574-11579, http://dx.doi.org/10.1021/acsaem.1c02318.[75] Yang, Meiyin, Li, Yanru, Luo, Jun, Deng, Yongcheng, Zhang, Nan, Zhang, Xueying, Li, Shaoxin, Cui, Yan, Yu, Peiyue, Yang, Tengzhi, Sheng, Yu, Wang, Sumei, Xu, Jing, Zhao, Chao, Wang, Kaiyou. All-Linear Multistate Magnetic Switching Induced by Electrical Current. PHYSICAL REVIEW APPLIED[J]. 2021, 第 3 作者 通讯作者 15(5): http://dx.doi.org/10.1103/PhysRevApplied.15.054013.[76] Mao, Shujuan, Zhao, Chao, Liu, Jinbiao, Wang, Guilei, Zhang, Yongkui, Wang, Yao, Cui, Hengwei, Liu, Weibing, Li, Menghua, Liu, Yaodong, Zhang, Dan, Xu, Jing, Gao, Jianfeng, Li, Yongliang, Wang, Wenwu, Chen, Dapeng, Li, Junfeng, Ye, Tianchun, Luo, Jun. Experimental Investigation of As Preamorphization Implant on Electrical Property of Ti-Based Silicide Contacts. IEEE TRANSACTIONS ON ELECTRON DEVICES[J]. 2021, 第 19 作者68(4): 1835-1840, http://dx.doi.org/10.1109/TED.2021.3057337.[77] Yang, Tengzhi, Yang, Meiyin, Zhao, Lei, Gao, Jianfeng, Xiang, Qingyi, Li, Wenjing, Luo, Feilong, Ye, Li, Luo, Jun. Field-Free Deterministic Writing of SOT-MTJ by Unipolar Current. 2021, 第 9 作者[78] Liu, Yaodong, Xu, Jing, Gao, Jianfeng, Liu, Jinbiao, Zhang, Dan, Zhou, Xuebing, Sun, Xianglie, Li, Yongliang, Li, Junfeng, Zhao, Chao, Wang, Wenwu, Chen, Dapeng, Ye, Tianchun, Luo, Jun. Thermal stability issue of ultrathin Ti-based silicide for its application in prospective DRAM peripheral 3D FinFET transistors. JOURNAL OF MATERIALS SCIENCE-MATERIALS IN ELECTRONICS[J]. 2021, 第 14 作者 通讯作者 32(19): 24107-24114, http://dx.doi.org/10.1007/s10854-021-06874-7.[79] Gu, Jie, Zhang, Qingzhu, Wu, Zhenhua, Yao, Jiaxin, Zhang, Zhaohao, Zhu, Xiaohui, Wang, Guilei, Li, Junjie, Zhang, Yongkui, Cai, Yuwei, Xu, Renren, Xu, Gaobo, Xu, Qiuxia, Yin, Huaxiang, Luo, Jun, Wang, Wenwu, Ye, Tianchun. Cryogenic Transport Characteristics of P-Type Gate-All-Around Silicon Nanowire MOSFETs. NANOMATERIALS[J]. 2021, 第 15 作者11(2): https://www.ncbi.nlm.nih.gov/pmc/articles/PMC7911106/.[80] Zhou, Longda, Liu, Qianqian, Yang, Hong, Ji, Zhigang, Xu, Hao, Wang, Guilei, Simoen, Eddy, Jiang, Haojie, Luo, Ying, Kong, Zhenzhen, Bai, Guobin, Luo, Jun, Yin, Huaxiang, Zhao, Chao, Wang, Wenwu. Alleviation of Negative-Bias Temperature Instability in Si p-FinFETs With ALD W Gate-Filling Metal by Annealing Process Optimization. IEEE JOURNAL OF THE ELECTRON DEVICES SOCIETY[J]. 2021, 第 12 作者9: 229-235, https://doaj.org/article/c5b752e8b8c341c8ae304cdcd783f0ac.[81] Yang, Tengzhi, Gao, Jianfeng, Cui, Yan, Xu, Jing, Li, Junfeng, Wang, Wenwu, Luo, Jun, Yang, Meiyin. Thermal stability of SOT-MTJ thin films tuning by multiple interlayer couplings. JOURNAL OF MAGNETISM AND MAGNETIC MATERIALS[J]. 2021, 第 7 作者529: http://dx.doi.org/10.1016/j.jmmm.2021.167823.[82] Li, Shengyang, 佘广为, Xu, Jing, Zhang, Shaoyang, Zhang, Haoyue, Mu, Lixuan, Ge, Chen, Jin, Kuijuan, Luo, Jun, Shi, Wensheng. Metal Silicidation in Conjunction with Dopant Segregation: A Promising Strategy for Fabricating High-Performance Silicon-Based Photoanodes. ACS APPLIED MATERIALS & INTERFACES[J]. 2020, 第 9 作者 通讯作者 12(35): 39092-39097, https://www.webofscience.com/wos/woscc/full-record/WOS:000569268800025.[83] Zhang, Dan, Du, Anyan, Zhao, Chao, Gao, Jianfeng, Mao, Shujuan, Xu, Jing, Gu, Shihai, Liu, Yaodong, Luo, Xue, Li, Yongliang, Li, Junfeng, Wang, Wenwu, Chen, Dapeng, Ye, Tianchun, Luo, Jun. Investigation of Barrier Property of Amorphous Co-Ti Layer as Single Barrier/Liner in Local Co Interconnects. IEEE TRANSACTIONS ON ELECTRON DEVICES[J]. 2020, 第 15 作者 通讯作者 67(5): 2076-2081, http://dx.doi.org/10.1109/TED.2020.2983302.[84] Zhou, Longda, Liu, Qianqian, Yang, Hong, Ji, Zhigang, Xu, Hao, Tang, Bo, Simoen, Eddy, Jiang, Haojie, Luo, Ying, Wang, Xiaolei, Ma, Xueli, Li, Yongliang, Luo, Jun, Yin, Huaxiang, Zhao, Chao, Wang, Wenwu. Insights Into the Effect of TiN Thickness Scaling on DC and AC NBTI Characteristics in Replacement Metal Gate pMOSFETs. IEEE TRANSACTIONS ON DEVICE AND MATERIALS RELIABILITY[J]. 2020, 第 13 作者20(3): 498-505, http://dx.doi.org/10.1109/TDMR.2020.2997811.[85] Zhao, Xuewei, Moeen, M, Toprak, M S, Wang, Guilei, Luo, Jun, Ke, Xingxing, Li, Zhihua, Liu, Daoqun, Wang, Wenwu, Zhao, Chao, Radamson, Henry H. Design impact on the performance of Ge PIN photodetectors. JOURNAL OF MATERIALS SCIENCE-MATERIALS IN ELECTRONICS[J]. 2020, 第 5 作者 通讯作者 31(1): 18-25, http://dx.doi.org/10.1007/s10854-018-00650-w.[86] Wang, Xiaolei, Sun, Xiaoqing, Zhang, Yuanyuan, Zhou, Lixing, Xiang, Jinjuan, Ma, Xueli, Yang, Hong, Li, Yongliang, Han, Kai, Luo, Jun, Zhao, Chao, Wang, Wenwu. Impact of Charges at Ferroelectric/Interlayer Interface on Depolarization Field of Ferroelectric FET With Metal/Ferroelectric/Interlayer/Si Gate-Stack. IEEE TRANSACTIONS ON ELECTRON DEVICES[J]. 2020, 第 10 作者67(10): 4500-4506, https://www.webofscience.com/wos/woscc/full-record/WOS:000572635400090.[87] Luo Jun. Role of Carbon Pre-Germanidation Implantation on Enhancing the Thermal Stability of NiGe Films Below 10 nm Thickness. ECS Journal of Solid State ence and Technology. 2020, 第 1 作者 通讯作者 [88] Mao, Shujuan, Zhao, Chao, Liu, Jinbiao, Wang, Guilei, Li, Ben, Liu, Weibing, Li, Menghua, Liu, Yaodong, Zhang, Dan, Xu, Jing, Gao, Jianfeng, Li, Yongliang, Wang, Wenwu, Chen, Dapeng, Li, Junfeng, Ye, Tianchun, Luo, Jun. Investigation of Ultrathin Ni Germanosilicide for Advanced pMOS Contact Metallization. IEEE TRANSACTIONS ON ELECTRON DEVICES[J]. 2020, 第 17 作者67(11): 5039-5044, http://dx.doi.org/10.1109/TED.2020.3026986.[89] Cheng, Xiaohong, Li, Yongliang, Wang, Guilei, Liu, Haoyan, Zan, Ying, Lin, Hongxiao, Kong, Zhenzhen, Zhong, Zhaoyang, Li, Yan, Wang, Hanxiang, Xu, Gaobo, Ma, Xueli, Wang, Xiaolei, Yang, Hong, Luo, Jun, Wang, Wenwu. Investigation on thermal stability of Si0.7Ge0.3/Si stacked multilayer for gate-all-around MOSFETS. SEMICONDUCTOR SCIENCE AND TECHNOLOGY[J]. 2020, 第 15 作者35(11): https://www.webofscience.com/wos/woscc/full-record/WOS:000575362500001.[90] Zhao, Zhiqian, Cheng, Xiaohong, Li, Yongliang, Zan, Ying, Liu, Haoyan, Wang, Guilei, Du, Anyan, Li, Junjie, Zhang, Qingzhu, Xu, Gaobo, Ma, Xueli, Wang, Xiaolei, Yang, Hong, Xu, Jing, Luo, Jun, Li, JunFeng, Yin, Huaxiang, Wang, Wenwu. Investigation on the formation technique of SiGe Fin for the high mobility channel FinFET device. JOURNAL OF MATERIALS SCIENCE-MATERIALS IN ELECTRONICS[J]. 2020, 第 15 作者31(8): 5854-5860, https://www.webofscience.com/wos/woscc/full-record/WOS:000499978000002.[91] Zhou, Longda, Zhang, Qingzhu, Yang, Hong, Ji, Zhigang, Zhang, Zhaohao, Liu, Qianqian, Xu, Hao, Tang, Bo, Simoen, Eddy, Ma, Xueli, Wang, Xiaolei, Li, Yongliang, Yin, Huaxiang, Luo, Jun, Zhao, Chao, Wang, Wenwu. Understanding Frequency Dependence of Trap Generation Under AC Negative Bias Temperature Instability Stress in Si p-FinFETs. IEEE ELECTRON DEVICE LETTERS[J]. 2020, 第 14 作者41(7): 965-968, http://dx.doi.org/10.1109/LED.2020.2992263.[92] Mao, Shujuan, Zhao, Chao, Liu, Jinbiao, Wang, Guilei, Li, Menghua, Liu, Yaodong, Luo, Xue, Zhan, Dan, Xu, Jing, Wang, Wenwu, Chen, Dapeng, Li, Junfeng, Ye, Tianchun, Luo, Jun. Specific Contact Resistivity Improvement by As Preamorphization Implantation for Ti-Based Ohmic Contacts on n(+)-Si. IEEE TRANSACTIONS ON ELECTRON DEVICES[J]. 2020, 第 14 作者 通讯作者 67(4): 1726-1729, http://dx.doi.org/10.1109/TED.2020.2975235.[93] Zhang, Dan, Zhao, Chao, Luo, Jun, Mao, Shujuan, Wang, Guilei, Xu, Jing, Luo, Xue, Li, Junfeng, Li, Yongliang, Wang, Wenwu, Chen, Dapeng, Ye, Tianchun. Experimental investigation of fundamental film properties for Co1-xTix alloying films with different compositions (0 <= x <= 1). JOURNAL OF MATERIALS SCIENCE-MATERIALS IN ELECTRONICS[J]. 2020, 第 3 作者 通讯作者 31(1): 105-114, https://www.webofscience.com/wos/woscc/full-record/WOS:000518400500015.[94] Zhang, Dan, Sheng, Jie, Zhao, Chao, Xu, Jing, Gao, Jianfeng, Mao, Shujuan, Men, Yang, Liu, Pengfei, Zhang, Jing, Luo, Xue, Li, Junfeng, Wang, Wenwu, Chen, Dapeng, Ye, Tianchun, Luo, Jun. Effects of Ni Film Thickness on the Properties of Ni-Based Silicides Formed on Both Highly Doped n- and p-Si Substrate. ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY[J]. 2020, 第 15 作者9(3): https://www.webofscience.com/wos/woscc/full-record/WOS:000537394900001.[95] Cheng, Xiaohong, Li, Yongliang, Liu, Haoyan, Zan, Ying, Lu, Yihong, Zhang, Qingzhu, Li, Junjie, Du, Anyan, Wu, Zhenhua, Luo, Jun, Wang, Wenwu. Selective wet etching in fabricating SiGe nanowires with TMAH solution for gate-all-around MOSFETs. JOURNAL OF MATERIALS SCIENCE-MATERIALS IN ELECTRONICS[J]. 2020, 第 10 作者31(24): 22478-22486, https://www.webofscience.com/wos/woscc/full-record/WOS:000585906600004.[96] Li, Junjie, Li, Yongliang, Zhou, Na, Xiong, Wenjuan, Wang, Guilei, Zhang, Qingzhu, Du, Anyan, Gao, Jianfeng, Kong, Zhenzhen, Lin, Hongxiao, Xiang, Jinjuan, Li, Chen, Yin, Xiaogen, Wang, Xiaolei, Yang, Hong, Ma, Xueli, Han, Jianghao, Zhang, Jing, Hu, Tairan, Cao, Zhe, Yang, Tao, Li, Junfeng, Yin, Huaxiang, Zhu, Huilong, Luo, Jun, Wang, Wenwu, Radamson, Henry H. Study of Silicon Nitride Inner Spacer Formation in Process of Gate-all-around Nano-Transistors. NANOMATERIALS[J]. 2020, 第 25 作者10(4): https://doaj.org/article/5a5d362d537a4a7b8cb15ae28e993076.[97] Zhang, Dan, Xu, Jing, Gao, Jianfeng, Du, Anyan, Zhang, Jing, Mao, Shujuan, Men, Yang, Liu, Pengfei, Gu, Shihai, Luo, Xue, Liu, Yaodong, Wang, Guilei, Li, Junfeng, Zhao, Chao, Wang, Wenwu, Chen, Dapeng, Ye, Tianchun, Luo, Jun. Impact of Ge pre-amorphization implantation on Co/Co-Ti/n(+)-Si contacts in advanced Co interconnects. JAPANESE JOURNAL OF APPLIED PHYSICS[J]. 2020, 第 18 作者 通讯作者 59: https://www.webofscience.com/wos/woscc/full-record/WOS:000546609800007.[98] Wang, Yan, Ma, Yuanjun, Shi, Jinping, Yan, Xiangyu, Luo, Jun, Zhu, Huilong, Jia, Kunpeng, Li, Juan, Zhang, Can Yang. Surface Modification of Monolayer MoS(2)by Baking for Biomedical Applications. FRONTIERS IN CHEMISTRY[J]. 2020, 第 5 作者8: https://www.ncbi.nlm.nih.gov/pmc/articles/PMC7573127/.[99] Ngan Hoang Pham, Vallin, Orjan, Panda, J, Kamalakar, M Venkata, Guo, Junji, Luo, Jun, Wen, Chenyu, Zhang, ShiLi, Zhang, ZhiBin. High thermoelectric power factor of p-type amorphous silicon thin films dispersed with ultrafine silicon nanocrystals. JOURNAL OF APPLIED PHYSICS[J]. 2020, 第 6 作者127(24): http://dx.doi.org/10.1063/5.0004318.[100] Shahzad, Kashif, Jia, Kunpeng, Zhao, Chao, Yan, Xiangyu, Yadong, Zhang, Usman, Muhammad, Luo, Jun. An Improved Rosin Transfer Process for the Reduction of Residue Particles for Graphene. NANOSCALE RESEARCH LETTERS[J]. 2020, 第 7 作者 通讯作者 15(1): http://dx.doi.org/10.1186/s11671-020-03312-1.[101] Zhao, Zhiqian, Li, Yan, Zan, Ying, Li, Yongliang, Li, Junjie, Cheng, Xiaohong, Wang, Guilei, Liu, Haoyan, Wang, Hanxiang, Zhang, Qingzhu, Ma, Xueli, Wang, Xiaolei, Yang, Hong, Li, JunFeng, Luo, Jun, Yin, Huaxiang, Wang, Wenwu. Fabrication technique of the Si0.5Ge0.5 Fin for the high mobility channel FinFET device. SEMICONDUCTOR SCIENCE AND TECHNOLOGY[J]. 2020, 第 15 作者35(4): https://www.webofscience.com/wos/woscc/full-record/WOS:000520431400001.[102] Sun, Xianglie, Lv, Shuliang, Li, Yuan, Huang, Chi, Ma, Haodong, Luo, Jun. Influence of TaN films deposited using different N-2 flow rates on the properties of Ta and Cu films in advanced 3D NAND memory. MATERIALS SCIENCE IN SEMICONDUCTOR PROCESSING[J]. 2020, 第 6 作者 通讯作者 115: http://dx.doi.org/10.1016/j.mssp.2020.105120.[103] Wang, Guilei, Kolahdouz, M, Luo, Jun, Qin, Changliang, Gu, Shihai, Kong, Zhenzhen, Yin, Xiaogen, Xiong, Wenjuan, Zhao, Xuewei, Liu, Jinbiao, Yang, Tao, Li, Junfeng, Yin, Huaxiang, Zhu, Huilong, Wang, Wenwu, Zhao, Chao, Ye, Tianchun, Radamson, Henry H. Growth of SiGe layers in source and drain regions for 10 nm node complementary metal-oxide semiconductor (CMOS). JOURNAL OF MATERIALS SCIENCE-MATERIALS IN ELECTRONICS[J]. 2020, 第 3 作者31(1): 26-33, http://dx.doi.org/10.1007/s10854-018-00661-7.[104] Hong, Peizhen, Zhao, Zhiguo, Luo, Jun, Xia, Zhiliang, Su, Xiaojing, Zhang, Libin, Li, Chunlong, Huo, Zongliang. An Improved Dimensional Measurement Method of Staircase Patterns With Higher Precision in 3D NAND. IEEE ACCESS[J]. 2020, 第 3 作者8: 140054-140061, https://doaj.org/article/e9da23ff1a4543e3bc9c99f11603f5dc.[105] Luo, Xue, Wang, Guilei, Xu, Jing, Mao, Shujuan, Zhang, Dan, Liu, Shi, Li, Junfeng, Li, Yongliang, Wang, Wenwu, Chen, Dapeng, Zhao, Chao, Ye, Tianchun, Luo, Jun. Investigation of NiGe Films Formed on Both n(+)- and p(+)-Ge with P and B Ion Implantation before Germanidation. ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY[J]. 2019, 第 13 作者 通讯作者 8(4): P271-P276, https://www.webofscience.com/wos/woscc/full-record/WOS:000467199300002.[106] Zhao, Zhiqian, Li, Yongliang, Wang, Guilei, Du, Anyan, Gu, Shihai, Li, Yan, Zhang, Qingzhu, Xu, Gaobo, Ma, Xueli, Wang, Xiaolei, Yang, Hong, Luo, Jun, Li, JunFeng, Yin, Huaxiang, Wang, Wenwu. A novel three-layer graded SiGe strain relaxed buffer for the high crystal quality and strained Si0.5Ge0.5 layer epitaxial grown. JOURNAL OF MATERIALS SCIENCE-MATERIALS IN ELECTRONICS[J]. 2019, 第 12 作者30(15): 14130-14135, https://www.webofscience.com/wos/woscc/full-record/WOS:000478863500030.[107] Luo Jun. Experimental investigation of fundamental film properties for Co1−xTix alloying films with different compositions (0 ≤ x≤1). Journal of Materials Science: Materials in Electronics. 2019, 第 1 作者 通讯作者 [108] Sun, Xianglie, Lv, Shuliang, Li, Yuan, Luo, Jun, Huang, Chi, Wan, Xianjin. Effects of Ar Plasma Treatment on the Properties of TaN/Ta Barrier for Copper Interconnects in Advanced 3D NAND Memory. ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY[J]. 2019, 第 4 作者 通讯作者 8(12): P764-P767, https://www.webofscience.com/wos/woscc/full-record/WOS:000500511600001.[109] 盛捷, 罗军, 吕亮, 赵志远, 肖志强. Ni基硅化物受退火方式影响的研究. 微电子学[J]. 2019, 第 2 作者270-274, http://lib.cqvip.com/Qikan/Article/Detail?id=77737873504849574850485051.[110] Wang, Wen, Luo, Jun, Jiang, Hao, Feng, Junhong. Impact of Post High-kappa Deposition Anneal (PDA) and Post High-kappa Capping Anneal (PCA) on the xBTI Performance in HfO2-Based FinFETs. ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY[J]. 2019, 第 2 作者 通讯作者 8(1): P30-P34, [111] 田阳雨, 罗军, 金鹰, 吴元芳. 基于电荷泵技术的三维器件的界面电荷特性研究. 半导体技术[J]. 2019, 第 2 作者542-547, http://lib.cqvip.com/Qikan/Article/Detail?id=66688474504849574855484948.[112] Zhao, Zhiqian, Li, Yongliang, Wang, Guilei, Du, Anyan, Li, Yan, Zhang, Qingzhu, Xu, Gaobo, Zhang, Yongkui, Luo, Jun, Li, JunFeng, Wang, Wenwu. Process optimization of the Si0.7Ge0.3 Fin Formation for the STI first scheme. SEMICONDUCTOR SCIENCE AND TECHNOLOGY[J]. 2019, 第 9 作者34(12): https://www.webofscience.com/wos/woscc/full-record/WOS:000494668200001.[113] Zhang, Dan, Mao, Shujuan, Wang, Guilei, Xu, Jing, Luo, Xue, Zhao, Chao, Li, Junfeng, Wang, Wenwu, Chen, Dapeng, Ye, Tianchun, Luo, Jun. Exploration of the impact of interface states density on the specific contact resistivity in TiSix/n(+)-Si Ohmic contacts through high-low frequency method. JAPANESE JOURNAL OF APPLIED PHYSICS[J]. 2019, 第 11 作者 通讯作者 58: https://www.webofscience.com/wos/woscc/full-record/WOS:000476921500009.[114] Mao, Shujuan, Luo, Jun. Titanium-based ohmic contacts in advanced CMOS technology. JOURNAL OF PHYSICS D-APPLIED PHYSICS. 2019, 第 2 作者 通讯作者 52(50): https://www.webofscience.com/wos/woscc/full-record/WOS:000486373700001.[115] 侯西亮, 罗军, 李弦. 一种新型电压倍增电路的设计. 微电子学[J]. 2019, 第 2 作者49(6): 802-806+811, https://kns.cnki.net/KCMS/detail/detail.aspx?dbcode=CJFQ&dbname=CJFDLAST2020&filename=MINI201906013&v=MjkwNTQ5RVo0UjhlWDFMdXhZUzdEaDFUM3FUcldNMUZyQ1VSN3FlWitkcUZDamxXNzNLS0NURlo3RzRIOWpNcVk=.[116] Shahzad, Kashif, Jia, Kunpeng, Yu, Xiang, Zhang, Dan, Luo, Xue, Xu, Jing, Jin, Zhi, Sun, Rong, Wang, Dahai, Muhammad, Usman, Luo, Jun. Effect of interfacial Ni between graphene and Pt/Au on reducing specific contact resistivity. JAPANESE JOURNAL OF APPLIED PHYSICS[J]. 2019, 第 11 作者 通讯作者 58: [117] Mao, Shujuan, Zhao, Chao, Wang, Guilei, Xu, Jing, Luo, Xue, Zhang, Dan, Wang, Wenwu, Chen, Dapeng, Li, Junfeng, Ye, Tianchun, Luo, Jun. Impacts of Ge Preamorphization Implantation and Si Capping on the Specific Contact Resistivity of Ni(Pt) SiGe/p(+)-SiGe Contacts. IEEE TRANSACTIONS ON ELECTRON DEVICES[J]. 2019, 第 11 作者 通讯作者 66(10): 4331-4336, [118] 杨凯, 刁华彬, 赵超, 罗军. β-Ga2O3欧姆接触的研究进展. 微纳电子技术[J]. 2019, 第 4 作者56(9): 681-690, http://lib.cqvip.com/Qikan/Article/Detail?id=7002875884.[119] Zhang, Guodong, Wang, Bingguo, Li, Tuo, Li, Lei, Liu, Junzhan, Luo, Jun. Influence of Polysilicon Deposition Conditions on Advanced 3D NAND. ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY[J]. 2019, 第 6 作者 通讯作者 8(10): Q207-Q210, http://dx.doi.org/10.1149/2.0171910jss.[120] 贺金鹏, 蒋晓钧, 明安杰, 傅剑宇, 罗军, 王玮冰, 陈大鹏. 40nm节点高深宽比接触孔刻蚀电性能稳定性改善. 半导体技术[J]. 2019, 第 5 作者44(3): 194-200, http://lib.cqvip.com/Qikan/Article/Detail?id=7001643481.[121] Shahzad, Kashif, Jia, Kunpeng, Zhao, Chao, Wang, Dahai, Usman, Muhammad, Luo, Jun. Effects of Different Ion Irradiation on the Contact Resistance of Pd/Graphene Contacts. MATERIALS[J]. 2019, 第 6 作者 通讯作者 12(23): http://apps.webofknowledge.com/CitedFullRecord.do?product=UA&colName=WOS&SID=5CCFccWmJJRAuMzNPjj&search_mode=CitedFullRecord&isickref=WOS:000510178700124.[122] Zhang, Dan, Xu, Jing, Mao, Shujuan, Zhao, Chao, Wang, Guilei, Luo, Xue, Li, Junfeng, Li, Yongliang, Wang, Wenwu, Chen, Dapeng, Ye, Tianchun, Luo, Jun. Co-sputtering Co-Ti alloy as a single barrier/liner for Co interconnects and thermal stability enhancement using TiN metal capping. JOURNAL OF MATERIALS SCIENCE-MATERIALS IN ELECTRONICS[J]. 2019, 第 12 作者 通讯作者 30(11): 10579-10588, http://dx.doi.org/10.1007/s10854-019-01402-0.[123] 刁华彬, 杨凯, 赵超, 罗军. 新一代功率半导体β-Ga2O3器件进展与展望. 微纳电子技术[J]. 2019, 第 4 作者56(11): 875-887,901, http://lib.cqvip.com/Qikan/Article/Detail?id=7100225580.[124] Mao, Shujuan, Wang, Guilei, Xu, Jing, Luo, Xue, Zhang, Dan, Duan, Ningyuan, Liu, Shi, Wang, Wenwu, Chen, Dapeng, Li, Junfeng, Zhao, Chao, Ye, Tianchun, Luo, Jun. Impact of Ge Preamorphization Implantation on Both the Formation of Ultrathin TiSix and the Specific Contact Resistivity in TiSix/n-Si Contacts. IEEE TRANSACTIONS ON ELECTRON DEVICES[J]. 2018, 第 13 作者 通讯作者 65(10): 4490-4498, https://www.webofscience.com/wos/woscc/full-record/WOS:000445239700063.[125] Tian, Yangyu, Chen, Kun, Jin, Ying, Luo, Jun. A Study of High-Low Frequency Charge Pumping Method on Evaluating Interface Traps in Bulk FinFETs. ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY[J]. 2018, 第 4 作者 通讯作者 7(9): Q164-Q168, https://www.webofscience.com/wos/woscc/full-record/WOS:000442078400001.[126] Mao, Shujuan, Wang, Guilei, Xu, Jing, Zhang, Dan, Luo, Xue, Wang, Wenwu, Chen, Dapeng, Li, Junfeng, Du, Anyan, Zhao, Chao, Ye, Tianchun, Luo, Jun. Improved Ti germanosilicidation by Ge pre-amorphization implantation (PAI) for advanced contact technologies. MICROELECTRONIC ENGINEERING[J]. 2018, 第 12 作者 通讯作者 201: 1-5, http://dx.doi.org/10.1016/j.mee.2018.09.006.[127] Luo Jun. On the manifestation of Ge pre-amorphization implantation (PAI) impact on both the formation of ultrathin TiSix and the specific contact resistivity in TiSix/n-Si contacts for sub-16/14 nm nodes and beyond. 2018 18th International Workshop on Junction Technology (IWJT). 2018, 第 1 作者[128] Sun, Feng, Li, Chen, Fu, Chaochao, Zhou, Xiangbiao, Luo, Jun, Zou, Wei, Qiu, ZhiJun, Wu, Dongping. Tuning of Schottky Barrier Height at NiSi/Si Contact by Combining Dual Implantation of Boron and Aluminum and Microwave Annealing. MATERIALS[J]. 2018, 第 5 作者11(4): https://doaj.org/article/4b60100b51124bba87e9e74455cdc031.[129] Wang, Sumei, Yang, Meiyin, Luo, Jun, Zhao, Chao, Wang, Wenwu, Ye, Tianchun. Switching of Exchange-Coupled Perpendicularly Magnetized Layers Under Spin-Orbit Torque. IEEE TRANSACTIONS ON MAGNETICS[J]. 2018, 第 3 作者54(11): https://www.webofscience.com/wos/woscc/full-record/WOS:000447832100005.[130] Duan, Ningyuan, Wang, Guilei, Xu, Jing, Mao, Shujuan, Luo, Xue, Zhang, Dan, Wang, Wenwu, Chen, Dapeng, Li, Junfeng, Liu, Shi, Zhao, Chao, Ye, Tianchun, Luo, Jun. Enhancing the thermal stability of NiGe by prior-germanidation fluorine implantation into Ge substrate. JAPANESE JOURNAL OF APPLIED PHYSICS[J]. 2018, 第 13 作者 通讯作者 57(7): https://www.webofscience.com/wos/woscc/full-record/WOS:000439389500004.[131] Luo Jun. Impact of Ge Preamorphization Implantation on Both the Formation of Ultrathin TiSiₓ and the Specific Contact Resistivity in TiSiₓ/n-Si Contacts. IEEE Transactions on Electron Devices. 2018, 第 1 作者 通讯作者 [132] Yan, Xiangyu, Jia, Kunpeng, Su, Yajuan, Ma, Yuanjun, Luo, Jun, Zhu, Huilong, Wei, Yayi. Edge-Contact Formed by Oxygen Plasma and Rapid Thermal Annealing to Improve Metal-Graphene Contact Resistance. ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY[J]. 2018, 第 5 作者7(2): M11-M15, https://www.webofscience.com/wos/woscc/full-record/WOS:000425215200025.[133] Tian, Min, Xu, Jing, Zhong, Huicai, Li, Li, Luo, Jun, Wang, Zhigang. Role of Ti Electrode on the Electrical Characterization of Filament within Al2O3 Based Antifuse. ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY[J]. 2018, 第 5 作者7(4): N37-N41, https://www.webofscience.com/wos/woscc/full-record/WOS:000430973200006.[134] Luo, Xue, Wang, Guilei, Xu, Jing, Duan, Ningyuan, Mao, Shujuan, Liu, Shi, Li, Junfeng, Wang, Wenwu, Chen, Dapeng, Zhao, Chao, Ye, Tianchun, Luo, Jun. Impact of Ge pre-amorphization implantation on forming ultrathin TiGex on both n- and p-Ge substrate. JAPANESE JOURNAL OF APPLIED PHYSICS[J]. 2018, 第 12 作者 通讯作者 57(7): https://www.webofscience.com/wos/woscc/full-record/WOS:000439389500003.[135] Liu, J B, Luo, Jun, Simoen, Eddy, Wang, Guilei, Xiang, Jinjuan, Li, Junfeng, Zhao, Chao, Ye, Tianchun. Hot Implantations of P into Ge: Impact on the Diffusion Profile. ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY[J]. 2017, 第 2 作者 通讯作者 6(1): P73-P77, http://dx.doi.org/10.1149/2.0311701jss.[136] 闫祥宇, 罗军, 贾昆鹏, 粟雅娟, 战俊. 基于CVD单层MoS2 FET的光电探测器. 微纳电子技术[J]. 2017, 第 2 作者54(7): 437-443, http://159.226.55.106/handle/172511/18124.[137] Wang, Guilei, Luo, Jun, Liu, Jinbiao, Yang, Tao, Xu, Yefeng, Li, Junfeng, Yin, Huaxiang, Yan, Jiang, Zhu, Huilong, Zhao, Chao, Ye, Tianchun, Radamson, Henry H. pMOSFETs Featuring ALD W Filling Metal Using SiH4 and B2H6 Precursors in 22 nm Node CMOS Technology. NANOSCALE RESEARCH LETTERS[J]. 2017, 第 2 作者12(1): http://dx.doi.org/10.1186/s11671-017-2080-2.[138] Wang, Guilei, Luo, Jun, Qin, Changliang, Liang, Renrong, Xu, Yefeng, Liu, Jinbiao, Li, Junfeng, Yin, Huaxiang, Yan, Jiang, Zhu, Huilong, Xu, Jun, Zhao, Chao, Radamson, Henry H, Ye, Tianchun. Integration of Highly Strained SiGe in Source and Drain with HK and MG for 22 nm Bulk PMOS Transistors. NANOSCALE RESEARCH LETTERS[J]. 2017, 第 2 作者12(1): http://dx.doi.org/10.1186/s11671-017-1908-0.[139] Fu, Chaochao, Wang, Yan, Xu, Peng, Yue, Lei, Sun, Feng, Zhang, David Wei, Zhang, ShiLi, Luo, Jun, Zhao, Chao, Wu, Dongping. Understanding the microwave annealing of silicon. AIP ADVANCES[J]. 2017, 第 8 作者7(3): http://dx.doi.org/10.1063/1.4978912.[140] Mao, ShuJuan, Xu, Jing, Wang, GuiLei, Luo, Jun, Duan, NingYuan, Simoen, Eddy, Radamson, Henry, Wang, WenWu, Chen, DaPeng, Li, JunFeng, Zhao, Chao, Ye, TianChun. On the Manifestation of Ge Pre-Amorphization Implantation (PAI) in Forming Ultrathin TiSix for Ti Direct Contact on Si in Sub-16/14 nm Complementary Metal-Oxide-Semiconductor (CMOS) Technology Nodes. ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY[J]. 2017, 第 4 作者 通讯作者 6(9): P660-P664, https://www.webofscience.com/wos/woscc/full-record/WOS:000418363500016.[141] Yin Huaxiang, Luo Jun, Henry Homayoun Radamson, Wang Guilei, Zhao Chao, Zhu Huilong, Qin Zhangliang. Optimization of Selective Growth of SiGe for Source/Drain in 14nm and Beyond Nodes FinFETs. INTERNATIONAL JOURNAL OF HIGH SPEED ELECTRONICS AND SYSTEMS[J]. 2017, 第 2 作者http://159.226.55.106/handle/172511/18100.[142] Zhao Chao, Zhong Huicai, Yin Huaxiang, Zhang Qingzhu, Luo Jun, Zhu Huilong. FinFETs on Insulator with Silicided Source/Drain. 2017, 第 5 作者http://159.226.55.106/handle/172511/18278.[143] Xu, Miao, Zhu, Huilong, Zhang, Yanbo, Xu, Qiuxia, Zhang, Yongkui, Qin, Changliang, Zhang, Qingzhu, Yin, Huaxiang, Xu, Hao, Chen, Shuai, Luo, Jun, Li, Chunlong, Zhao, Chao, Ye, Tianchun. Two methods of tuning threshold voltage of bulk FinFETs with replacement high-k metal-gate stacks. SOLID-STATE ELECTRONICS[J]. 2017, 第 11 作者129: 52-60, http://dx.doi.org/10.1016/j.sse.2016.12.016.[144] Eddy simoen, 叶甜春, 赵超, 罗军, 王文武, 王盛凯, 韩楷, 项金娟, 王晓磊, Henry HRadamson. Physically Based Evaluation of Effect of Buried Oxide on Surface Roughness Scattering Limited Hole Mobility in Ultrathin GeOI MOSFET. IEEE TRANSACTRIONS ON ELELCTRON DIVECES[J]. 2017, 第 4 作者http://159.226.55.106/handle/172511/18120.[145] 战俊, 段宁远, 贾昆鹏, 罗军, 粟雅娟. 用于FET的PECVD SiNx掺杂MoS2的有效性与可控性. 微纳电子技术[J]. 2017, 第 4 作者54(4): 229-234, http://159.226.55.106/handle/172511/18122.[146] Duan, Ningyuan, Wang, Guilei, Luo, Jun, Mao, Shujuan, Luo, Xue, Xu, Jing, Wang, Wenwu, Liu, Shi, Chen, Dapeng, Li, Junfeng, Zhao, Chao, Ye, Tianchun. A Modified Scheme to Reduce the Specific Contact Resistivity of NiSi/Si Contacts by Means of Dopant Segregation Technique. ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY[J]. 2017, 第 3 作者 通讯作者 6(12): P904-P908, http://dx.doi.org/10.1149/2.0431712jss.[147] 李俊峰, Liang He, Eddy Simoen, Cor claeys, 王桂磊, 罗军, 赵超. Low frequency noise characterization of 22nm PMOS featuring with filling W gate using different precursor. 2017, 第 6 作者http://159.226.55.106/handle/172511/18277.[148] Wang, Xiaolei, Xiang, Jinjuan, Han, Kai, Wang, Shengkai, Luo, Jun, Zhao, Chao, Ye, Tianchun, Radamson, Henry H, Simoen, Eddy, Wang, Wenwu. Physically Based Evaluation of Effect of Buried Oxide on Surface Roughness Scattering Limited Hole Mobility in Ultrathin GeOI MOSFETs. IEEE TRANSACTIONS ON ELECTRON DEVICES[J]. 2017, 第 5 作者64(6): 2611-2616, https://www.webofscience.com/wos/woscc/full-record/WOS:000402057100021.[149] 王桂磊, 罗军, 秦长亮, 崔虎山, 刘金彪, 贾昆鹏, 李俊杰, 杨涛, 李俊峰, 殷华湘, 赵超, 叶甜, Henry Homayoun Radamson, 闫江, 赵超, 叶甜春. Integration of Selective Epitaxial Growth of SiGe/Ge layers in 14nm Node FinFETs. ECS TRANSACTIONS[J]. 2016, 第 2 作者http://159.226.55.106/handle/172511/16224.[150] 刘金彪, 罗军, Eddy Simoen, 杨芳, 王桂磊, 王文武, 陈大鹏, 李俊峰, 赵超. Junction Control by Carbon and Phosphorus Co-Implantation in Pre-Amorphized Germanium. ECS JOURNAL OF SOLID STATE SCIENCE AND TEHCHNOLOGY[J]. 2016, 第 2 作者http://159.226.55.106/handle/172511/16196.[151] 代云飞, 李丽, 罗军. Numerical Simulation of Dean Flow in Spiral Microchannels for Cancer Cell Sorting. IEEE-INEC[J]. 2016, 第 3 作者http://159.226.55.106/handle/172511/16164.[152] Fang, Wen, Veloso, Anabela, Simoen, Eddy, Cho, MoonJu, Collaert, Nadine, Thean, Aaron, Luo, Jun, Zhao, Chao, Ye, Tianchun, Claeys, Cor. Impact of the Effective Work Function Gate Metal on the Low-Frequency Noise of Gate-All-Around Silicon-on-Insulator NWFETs. IEEE ELECTRON DEVICE LETTERS[J]. 2016, 第 7 作者37(4): 363-365, [153] 贾昆鹏, 罗军, 胡荣炎, 战俊, 曹合适, 粟雅娟, 朱慧珑, 谢玲, 赵超, 陈大鹏. Evaluation of PMMA Residues as a Function of Baking Temperature and a Graphene Heat-Free-Transfer Process to Reduce Them. ECS JOURNAL OF SOLID STATE SCIENCE AND TEHCHNOLOGY[J]. 2016, 第 2 作者http://159.226.55.106/handle/172511/16197.[154] Simoen, Eddy, Schaekers, Marc, Liu, Jinbiao, Luo, Jun, Zhao, Chao, Barla, Kathy, Collaert, Nadine. Defect engineering for shallow n-type junctions in germanium: Facts and fiction. PHYSICA STATUS SOLIDI A-APPLICATIONS AND MATERIALS SCIENCE[J]. 2016, 第 4 作者213(11): 2799-2808, https://www.webofscience.com/wos/woscc/full-record/WOS:000388323200001.[155] Zhang Qingzhu, Yin Huaxiang, Luo Jun, Yang Hong, Meng Lingkuan, Li Yudong, Wu Zhenhua, Zhang Yanbo, Zhang Yongkui, Qin Zhangliang, Li Junjie, Gao Jianfeng, Wang Guilei, Xiong Wenjuan, Xiang Jinjuan, Zhou Zhangyu, Mao Shujuan, Xu Gaobo, Liu Jinbiao, Yang Tao. FOI FinFET with Ultra-low Parasitic Resistance Enabled by Fully Metallic Source and Drain Formation on Isolated Bulk-Fin. 2016 IEEE International Electron Devices Meeting: IEDM 2016, San Francisco, California, USA, 3-7 December 2016, pages 452-929, v.2. 2016, 第 3 作者452-455, http://159.226.55.106/handle/172511/16336.[156] Jia, Kunpeng, Su, Yajuan, Zhan, Jun, Shahzad, Kashif, Zhu, Huilong, Zhao, Chao, Luo, Jun. Enhanced End-Contacts by Helium Ion Bombardment to Improve Graphene-Metal Contacts. NANOMATERIALS[J]. 2016, 第 7 作者 通讯作者 6(9): http://159.226.55.106/handle/172511/16193.[157] Fu, Chaochao, Zhou, Xiangbiao, Wang, Yan, Xu, Peng, Xu, Ming, Wu, Dongping, Luo, Jun, Zhao, Chao, Zhang, ShiLi. Schottky Barrier Height Tuning via the Dopant Segregation Technique through Low-Temperature Microwave Annealing. MATERIALS[J]. 2016, 第 7 作者9(5): http://159.226.55.106/handle/172511/16228.[158] Wang, Guilei, Qin, Changliang, Yin, Huaxiang, Luo, Jun, Duan, Ningyuan, Yang, Ping, Gao, Xingyu, Yang, Tao, Li, Junfeng, Yan, Jiang, Zhu, Huilong, Wang, Wenwu, Chen, Dapeng, Ye, Tianchun, Zhao, Chao, Radamson, Henry H. Study of SiGe selective epitaxial process integration with high-k and metal gate for 16/14 nm nodes FinFET technology. MICROELECTRONIC ENGINEERING[J]. 2016, 第 4 作者 通讯作者 163: 49-54, http://dx.doi.org/10.1016/j.mee.2016.06.002.[159] Jia, Kunpeng, Luo, Jun, Hu, Rongyan, Zhan, Jun, Cao, Heshi, Su, Yajuan, Zhu, Huilong, Xie, Ling, Zhao, Chao, Chen, Dapeng, Ye, Tianchun. Evaluation of PMMA Residues as a Function of Baking Temperature and a Graphene Heat-Free-Transfer Process to Reduce Them. ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY[J]. 2016, 第 2 作者5(3): P138-P141, https://www.webofscience.com/wos/woscc/full-record/WOS:000373206700005.[160] Eddy Simoen, 刘金彪, 罗军, 赵超. Defect engineering for shallow n‐type junctions in germanium: Facts and fiction. PHYSICA STATUS SOLIDI (A)[J]. 2016, 第 3 作者http://159.226.55.106/handle/172511/16227.[161] Duan, Ningyuan, Luo, Jun, Wang, Guilei, Liu, Jinbiao, Simoen, Eddy, Mao, Shujuan, Radamson, Henry, Wang, Xiaolei, Li, Junfeng, Wang, Wenwu, Zhao, Chao, Ye, Tianchun. Reduction of NiGe/n- and p-Ge Specific Contact Resistivity by Enhanced Dopant Segregation in the Presence of Carbon During Nickel Germanidation. IEEE TRANSACTIONS ON ELECTRON DEVICES[J]. 2016, 第 2 作者 通讯作者 63(11): 4546-4549, http://159.226.55.106/handle/172511/16192.[162] Chen, Wenhui, Luo, Jun, Meng, Lingkuan, Li, Junjie, Xiang, Jinjuan, Li, Junfeng, Wang, Wenwu, Chen, Dapeng, Ye, Tianchun, Zhao, Chao. Atomic layer deposition assisted pattern transfer technology for ultra-thin block copolymer films. THIN SOLID FILMS[J]. 2016, 第 2 作者613: 32-37, http://dx.doi.org/10.1016/j.tsf.2015.10.032.[163] 罗军, 刘金彪, Eddy Simoen, 王桂磊, 毛淑娟, Henry Homayoun Radamson, 段宁远, 李俊峰, 王文武. On the Manipulation of Phosphorus Diffusion as Well as the Reduction of Specific Contact Resistivity in Ge by Carbon Co-Doping. ECS TRANSACTIONS[J]. 2016, 第 1 作者http://159.226.55.106/handle/172511/16194.[164] Qin, Changliang, Wang, Guilei, Kolahdouz, M, Luo, Jun, Yin, Huaxing, Yang, Ping, Li, Junfeng, Zhu, Huilong, Chao, Zhao, Ye, Tianchun, Radamson, Henry H. Impact of pattern dependency of SiGe layers grown selectively in source/drain on the performance of 14 nm node FinFETs. SOLID-STATE ELECTRONICS[J]. 2016, 第 4 作者124: 10-15, http://dx.doi.org/10.1016/j.sse.2016.07.024.[165] 方雯, 罗军, 赵超, 叶甜春, Eddy Simoen. Impact of the Effective Work Function Gate Metal on the Low-Frequency Noise of Gate-All-Around Silicon-on-Insulator NWFETs. IEEE ELETRCON DEVICE LETTERS[J]. 2016, 第 2 作者http://159.226.55.106/handle/172511/16229.[166] Xu, Qiang, Luo, Jun, Wang, Guilei, Yang, Tao, Li, Junfeng, Ye, Tianchun, Chen, Dapeng, Zhao, Chao. Application of ALD W films as gate filling metal in 22 nm HKMG-last integration: Evaluation and improvement of the adhesion in CMP process. MICROELECTRONIC ENGINEERING[J]. 2015, 第 2 作者137: 43-46, http://dx.doi.org/10.1016/j.mee.2015.01.007.[167] Wang, Guilei, Abedin, Ahmad, Moeen, Mandi, Kolandouz, Mohammadreza, Luo, Jun, Guo, Yiluan, Chen, Tao, Yin, Huaxiang, Zhu, Huilong, Li, Junfeng, Zhao, Chao, Radamson, Henry H. Integration of highly-strained SiGe materials in 14 nm and beyond nodes FinFET technology. SOLID-STATE ELECTRONICS[J]. 2015, 第 5 作者 通讯作者 103: 222-228, http://dx.doi.org/10.1016/j.sse.2014.07.008.[168] Fang Wen, Eddy Simoen, Li Chikang, Marc Aoulaiche, Luo Jun, Zhao Chao, Cor Claeys. Silicon-film-related random telegraph noise in UTBOX silicon-on-insulator nMOSFETs. JOURNAL OF SEMICONDUCTORS[J]. 2015, 第 5 作者36(9): 94005-1, http://dx.doi.org/10.1088/1674-4926/36/9/094005.[169] Fang, Wen, Simoen, Eddy, Arimura, Hiroaki, Mitard, Jerome, Sioncke, Sonja, Mertens, Hans, Mocuta, Anda, Collaert, Nadine, Luo, Jun, Zhao, Chao, Thean, Aaron VoonYew, Claeys, Cor. Low-Frequency Noise Characterization of GeOx Passivated Germanium MOSFETs. IEEE TRANSACTIONS ON ELECTRON DEVICES[J]. 2015, 第 9 作者62(7): 2078-2083, http://www.irgrid.ac.cn/handle/1471x/1091093.[170] 张宇, 魏胜, 李民权, 赵超, 罗军, 黄成军. 用于单个纳米颗粒检测的固态纳米孔器件的仿真与优化. 传感技术学报[J]. 2015, 第 5 作者1425-1431, http://lib.cqvip.com/Qikan/Article/Detail?id=67717483504849534948484849.[171] Xu Miao, Yin Huaxiang, Zhu Huilong, Ma Xiaolong, Xu Weijia, Zhang Yongkui, Zhao Zhiguo, Luo Jun, Yang Hong, Li Chunlong, Meng Lingkuan, Hong Peizheng, Xiang Jinjuan, Gao Jianfeng, Xu Qiang, Xiong Wenjuan, Wang Dahai, Li Junfeng, Zhao Chao, Chen Dapeng, Yang Simon, Ye Tianchun. Device parameter optimization for sub-20 nm node HK/MG-last bulk FinFETs. JOURNAL OF SEMICONDUCTORS[J]. 2015, 第 8 作者36(4): 044007-1, http://www.irgrid.ac.cn/handle/1471x/1091059.[172] Guo Yiluan, Wang Guilei, Zhao Chao, Luo Jun. Simulation and characterization of stress in FinFETs using novel LKMC and nanobeam diffraction methods. JOURNAL OF SEMICONDUCTORS[J]. 2015, 第 4 作者36(8): 086001-01, http://www.irgrid.ac.cn/handle/1471x/1091089.[173] 魏胜, 彭猛, 李民权, 罗军. 在SixGe1-xC0.02衬底上直接生长石墨烯. 半导体技术[J]. 2015, 第 4 作者531-535,553, [174] Liu, Qingbo, Wang, Guilei, Guo, Yiluan, Ke, Xingxing, Radamson, Henry, Liu, Hong, Zhao, Chao, Luo, Jun. Effects of carbon pre-germanidation implant into Ge on the thermal stability of NiGe films. MICROELECTRONIC ENGINEERING[J]. 2015, 第 8 作者 通讯作者 133: 6-10, http://dx.doi.org/10.1016/j.mee.2014.11.008.[175] Jia, Kunpeng, Su, Yajuan, Chen, Yang, Luo, Jun, Yang, Jie, Lv, Peng, Zhang, Zihan, Zhu, Huilong, Zhao, Chao, Ye, Tianchun. Effects of defects and thermal treatment on the properties of graphene. VACUUM[J]. 2015, 第 4 作者116: 90-95, http://dx.doi.org/10.1016/j.vacuum.2015.03.003.[176] Wang, Guilei, Moeen, M, Abedin, A, Xu, Yefeng, Luo, Jun, Guo, Yiluan, Qin, Changliang, Tang, Zhaoyun, Yin, Haizhou, Li, Junfeng, Yan, Jiang, Zhu, Huilong, Zhao, Chao, Chen, Dapeng, Ye, Tianchun, Kolahdouz, M, Radamson, Henry H. Impact of pattern dependency of SiGe layers grown selectively in source/drain on the performance of 22 nm node pMOSFETs. SOLID-STATE ELECTRONICS[J]. 2015, 第 5 作者114: 43-48, http://dx.doi.org/10.1016/j.sse.2015.07.003.[177] Liu, Qingbo, Wang, Guilei, Guo, Yiluan, Ke, Xingxing, Liu, Hong, Zhao, Chao, Luo, Jun. Optimization of a two-step Ni(5% Pt) germanosilicidation process and the redistribution of Pt in Ni(Pt)Si1-xGex germanosilicide. VACUUM[J]. 2015, 第 7 作者111: 114-118, http://dx.doi.org/10.1016/j.vacuum.2014.10.007.[178] Liu, Qingbo, Wang, Guilei, Duan, Ningyuan, Radamson, Henry, Liu, Hong, Zhao, Chao, Luo, Jun. Effects of Carbon Pre-Germanidation Implantation on the Thermal Stability of NiGe and Dopant Segregation on Both n- and p-Type Ge Substrate. ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY[J]. 2015, 第 7 作者4(5): P119-P123, http://www.irgrid.ac.cn/handle/1471x/1091086.[179] Fang, Wen, Simoen, Eddy, Aoulaiche, Marc, Luo, Jun, Zhao, Chao, Claeys, Cor. Distinction between silicon and oxide traps using single-trap spectroscopy. PHYSICA STATUS SOLIDI A-APPLICATIONS AND MATERIALS SCIENCE[J]. 2015, 第 4 作者212(3): 512-517, https://www.webofscience.com/wos/woscc/full-record/WOS:000351530800004.[180] Cui, Hushan, Luo, Jun, Xu, Jing, Gao, Jianfeng, Xiang, Jinjuan, Tang, Zhaoyun, Wang, Xiaolei, Lu, Yihong, He, Xiaobin, Li, Tingting, Tang, Bo, Yu, Jiahan, Yang, Tao, Yan, Jiang, Li, Junfeng, Zhao, Chao, Ye, Tianchun. Investigation of TaN as the wet etch stop layer for HKMG-last integration in the 22 nm and beyond nodes CMOS technology. VACUUM[J]. 2015, 第 2 作者119: 185-188, http://dx.doi.org/10.1016/j.vacuum.2015.05.021.[181] Qilong Bao, Tiankai Zhu, Ning Zhou, Shiping Guo, Jun Luo, Chao Zhao. Effect of hydrogen carrier gas on AlN and AlGaN growth in AMEC Prismo D-Blue® MOCVD platform. JOURNAL OF CRYSTAL GROWTH. 2015, 第 5 作者419: 52-56, http://dx.doi.org/10.1016/j.jcrysgro.2015.02.084.[182] Bao, Qilong, Zhu, Tiankai, Zhou, Ning, Guo, Shiping, Luo, Jun, Zhao, Chao. Effect of hydrogen carrier gas on AlN and AlGaN growth in AMEC Prismo D-Blue (R) MOCVD platform. JOURNAL OF CRYSTAL GROWTH[J]. 2015, 第 5 作者419: 52-56, https://www.webofscience.com/wos/woscc/full-record/WOS:000352706500010.[183] 柯星星, 罗军, 赵超, 王桂磊. 近红外波段Ge光电探测器的研究进展. 半导体技术[J]. 2015, 第 2 作者40(4): 241-249, https://d.wanfangdata.com.cn/periodical/bdtjs201504001.[184] Wei, Xing, Zhong, Jian, Luo, Jun, Wu, Hao, Zhu, Huilong, Zhao, Chao, Yin, Haizhou. FinFETs using reverse substrate layer with improved gate capacitance characteristics for subthreshold application. SOLID-STATE ELECTRONICS[J]. 2015, 第 3 作者104: 116-121, http://dx.doi.org/10.1016/j.sse.2014.10.011.[185] 陈文辉, 罗军, 赵超. Solid-State Nanopore: The Next-Generation Sequencing Technology - Principles, Fabrication and Challenges. 中国科学:生命科学[J]. 2014, 第 2 作者44(7): 649-662, http://www.irgrid.ac.cn/handle/1471x/1091040.[186] Luo, Jun, Qiu, ZhiJun, Deng, Jian, Zhao, Chao, Li, Junfeng, Wang, Wenwu, Chen, Dapeng, Wu, Dongping, Ostling, Mikael, Ye, Tianchun, Zhang, ShiLi. Variation of Schottky barrier height induced by dopant segregation monitored by contact resistivity measurements. MICROELECTRONIC ENGINEERING[J]. 2014, 第 1 作者 通讯作者 120: 174-177, http://dx.doi.org/10.1016/j.mee.2013.09.003.[187] Tong, Xiaodong, Luo, Jun, Wu, Hao, Liang, Qingqing, Zhong, Huicai, Zhu, Huilong, Zhao, Chao. Two-terminal vertical memory cell for cross-point static random access memory applications. JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B[J]. 2014, 第 2 作者32(2): https://www.webofscience.com/wos/woscc/full-record/WOS:000333560600041.[188] Bao, Qilong, Luo, Jun, Zhao, Chao. Mechanism of TMAl pre-seeding in AlN epitaxy on Si (111) substrate. VACUUM[J]. 2014, 第 2 作者 通讯作者 101: 184-188, http://dx.doi.org/10.1016/j.vacuum.2013.08.015.[189] Chen, Wenhui, Luo, Jun, Shi, Peixiong, Li, Chunlong, He, Xiaobin, Hong, Peizhen, Li, Junfeng, Zhao, Chao. Self-assembling morphologies of symmetrical PS-b-PMMA in different sized confining grooves. RSC ADVANCES[J]. 2014, 第 2 作者4(92): 50393-50400, http://dx.doi.org/10.1039/c4ra09573a.[190] Deng, Jian, Liu, Qingbo, Zhao, Chao, Li, Junfeng, Wang, Wenwu, Chen, Dapeng, Ye, Tianchun, Luo, Jun. A modified scheme to tune the Schottky Barrier Height of NiSi by means of dopant segregation technique. VACUUM[J]. 2014, 第 8 作者 通讯作者 99: 225-227, http://dx.doi.org/10.1016/j.vacuum.2013.05.024.[191] Zhao, Lichuan, Tang, Zhaoyun, Tang, Bo, Ma, Xueli, Liu, Jinbiao, Xiang, Jinjuan, Gao, Jianfeng, Li, Chunlong, He, Xiaobin, Jia, Cheng, Ding, Mingzheng, Yang, Hong, Xu, Yefeng, Xu, Jing, Wang, Hongli, Liu, Peng, Hong, Peizhen, Meng, Lingkuan, Li, Tingting, Xiong, Wenjuan, Wu, Hao, Li, Junjie, Wang, Guilei, Yang, Tao, Cui, Hushan, Lu, Yihong, Tong, Xiaodong, Luo, Jun, Zhong, Jian, Xu, Qiang, Wang, Wenwu, Li, Junfeng, Zhu, Huilong, Zhao, Chao, Yan, Jiang, Chen, Dapeng, Yang, Simon, Ye, Tianchun. Mitigation of Reverse Short-Channel Effect With Multilayer TiN/Ti/TiN Metal Gates in Gate Last PMOSFETs. IEEE ELECTRON DEVICE LETTERS[J]. 2014, 第 28 作者35(8): 811-813, http://dx.doi.org/10.1109/LED.2014.2331356.[192] Wang, Guilei, Xu, Qiang, Yang, Tao, Xiang, Jinjuan, Xu, Jing, Gao, Jianfeng, Li, Chunlong, Li, Junfeng, Yan, Jiang, Chen, Dapeng, Ye, Tianchun, Zhao, Chao, Luo, Jun. Application of Atomic Layer Deposition Tungsten (ALD W) as Gate Filling Metal for 22 nm and Beyond Nodes CMOS Technology. ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY[J]. 2014, 第 13 作者3(4): P82-P85, https://www.webofscience.com/wos/woscc/full-record/WOS:000333570300009.[193] 邹志超, 李超波, 罗军, 夏洋. 等离子体浸没离子注入技术在FinFET掺杂中的应用. 半导体技术[J]. 2014, 第 3 作者39(8): 596-599,604, http://www.irgrid.ac.cn/handle/1471x/1092465.[194] Meng, Lingkuan, Li, Chunlong, He, Xiaobin, Luo, Jun, Li, Junfeng, Zhao, Chao, Yan, Jiang. Innovatively composite hard mask to feature sub-30 nm gate patterning. MICROELECTRONIC ENGINEERING[J]. 2014, 第 4 作者127: 7-13, http://dx.doi.org/10.1016/j.mee.2014.02.010.[195] Luo, Jun, Qiu, ZhiJun, Deng, Jian, Zhao, Chao, Li, Junfeng, Wang, Wenwu, Chen, Dapeng, Wu, Dongping, Ostling, Mikael, Ye, Tianchun, Zhang, ShiLi. Effects of carbon pre-silicidation implant into Si substrate on NiSi. MICROELECTRONIC ENGINEERING[J]. 2014, 第 1 作者 通讯作者 120: 178-181, http://dx.doi.org/10.1016/j.mee.2013.08.010.[196] 毛淑娟, 罗军, 闫江. 杂质分凝技术对肖特基势垒高度的调制. 半导体技术[J]. 2013, 第 2 作者38(1): 55-59, http://www.irgrid.ac.cn/handle/1471x/1091018.[197] Wang Guilei, Xu Qiang, Yang Tao, Luo Jun, Xiang Jinjuan, Xu Jing, Xu Gaobo, Li Chunlong, Li Junfeng, Yan Jiang, Zhao Chao, Chen Dapeng, Ye Tianchun, Roozeboom F, Delabie A, Londergan A, DeGendt S, Elam JW, VanDerStraten O. Application of Atomic Layer Deposition Tungsten (ALD W) as Gate Filling Metal for 22 nm and Beyond Nodes CMOS Technology. ATOMIC LAYER DEPOSITION APPLICATIONS 9. 2013, 第 4 作者58(10): 317-324, [198] Cui Hushan, Xu Jing, Gao Jianfeng, Xiang Jinjuan, Lu Yihong, Tang Zhaoyun, He Xiaobin, Li Tingting, Luo Jun, Wang Xiaolei, Tang Bo, Yu Jiahan, Yang Tao, Yan Jiang, Li Junfeng, Zhao Chao, Hattori T, Ruzyllo J, Mertens P, Novak RE. Evaluation of TaN as the Wet Etch Stop Layer during the 22nm HKMG Gate Last CMOS Integrations. SEMICONDUCTOR CLEANING SCIENCE AND TECHNOLOGY 13 (SCST 13). 2013, 第 9 作者58(6): 111-118, [199] 包琦龙, 丛宏林, 徐小明, 江忠永, 张昊翔, 罗军, 赵超. 100mm直径硅衬底上MOCVD外延生长无裂纹GaN. 半导体技术[J]. 2013, 第 6 作者38(2): 130-134, http://www.irgrid.ac.cn/handle/1471x/1091017.[200] 江忠永, 丛宏林, 徐小明, 包琦龙, 张昊翔, 罗军, 赵超. Si衬底上MOCVD生长AlN的预铺铝机理研究. 半导体技术[J]. 2013, 第 6 作者38(4): 292-296, http://www.irgrid.ac.cn/handle/1471x/1091019.[201] Xu, Peng, Fu, Chaochao, Hu, Cheng, Zhang, David Wei, Wu, Dongping, Luo, Jun, Zhao, Chao, Zhang, ZhiBin, Zhang, ShiLi. Ultra-shallow junctions formed using microwave annealing. APPLIED PHYSICS LETTERS[J]. 2013, 第 6 作者102(12): http://www.irgrid.ac.cn/handle/1471x/1091011.[202] Mao ShuJuan, Luo Jun, Yan Jiang, Tang TA, Jiang YL. Gap Fill Capability of Ni PVD Based on Silicide-last Process. 2012 IEEE 11TH INTERNATIONAL CONFERENCE ON SOLID-STATE AND INTEGRATED CIRCUIT TECHNOLOGY (ICSICT-2012). 2012, 第 2 作者1103-1105, http://apps.webofknowledge.com/CitedFullRecord.do?product=UA&colName=WOS&SID=5CCFccWmJJRAuMzNPjj&search_mode=CitedFullRecord&isickref=WOS:000319824700310.[203] Piao, Yinghua, Zhu, Zhiwei, Gao, Xindong, Karabko, Aliaksandra, Hu, Cheng, Qiu, Zhijun, Luo, Jun, Zhang, ZhiBin, Zhang, ShiLi, Wu, Dongping. Extensive Raman spectroscopic investigation of ultrathin Co1-xNixSi2 films grown on Si(100). JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A[J]. 2012, 第 7 作者30(4): https://www.webofscience.com/wos/woscc/full-record/WOS:000306142800045.[204] Song, Yi, Xu, Qiuxia, Luo, Jun, Zhou, Huajie, Niu, Jiebin, Liang, Qingqing, Zhao, Chao. Performance Breakthrough in Gate-All-Around Nanowire n- and p-Type MOSFETs Fabricated on Bulk Silicon Substrate. IEEE TRANSACTIONS ON ELECTRON DEVICES[J]. 2012, 第 3 作者59(7): 1885-1890, http://dx.doi.org/10.1109/TED.2012.2194785.[205] Song, Yi, Luo, Jun, Li, Xiuling. Vertically stacked individually tunable nanowire field effect transistors for low power operation with ultrahigh radio frequency linearity. APPLIED PHYSICS LETTERS[J]. 2012, 第 2 作者101(9): https://www.webofscience.com/wos/woscc/full-record/WOS:000308408100082.[206] Song, Yi, Zhou, Huajie, Xu, Qiuxia, Luo, Jun, Yin, Haizhou, Yan, Jiang, Zhong, Huicai. Mobility Enhancement Technology for Scaling of CMOS Devices: Overview and Status. JOURNAL OF ELECTRONIC MATERIALS[J]. 2011, 第 4 作者40(7): 1584-1612, http://dx.doi.org/10.1007/s11664-011-1623-z.[207] Luo, Jun, Wu, Dongping, Qiu, Zhijun, Lu, Jun, Hultman, Lars, Ostling, Mikael, Zhang, ShiLi. On Different Process Schemes for MOSFETs With a Controllable NiSi-Based Metallic Source/Drain. IEEE TRANSACTIONS ON ELECTRON DEVICES[J]. 2011, 第 1 作者 通讯作者 58(7): 1898-1906, https://www.webofscience.com/wos/woscc/full-record/WOS:000291952900011.[208] Luo, Jun, Gao, Xindong, Qiu, ZhiJun, Lu, Jun, Wu, Dongping, Zhao, Chao, Li, Junfeng, Chen, Dapeng, Hultman, Lars, Zhang, ShiLi. Thermal Stability and Dopant Segregation for Schottky Diodes With Ultrathin Epitaxial NiSi2-y. IEEE ELECTRON DEVICE LETTERS[J]. 2011, 第 1 作者 通讯作者 32(8): 1029-1031, http://dx.doi.org/10.1109/LED.2011.2157301.[209] Luo, Jun, Qiu, Zhijun, Zha, Chaolin, Zhang, Zhen, Wu, Dongping, Lu, Jun, Akerman, Johan, Ostling, Mikael, Hultman, Lars, Zhang, ShiLi. Surface-energy triggered phase formation and epitaxy in nanometer-thick Ni1-xPtx silicide films. APPLIED PHYSICS LETTERS[J]. 2010, 第 1 作者 通讯作者 96(3): http://dx.doi.org/10.1063/1.3291679.[210] Luo, Jun, Qiu, ZhiJun, Zhang, Zhen, Ostling, Mikael, Zhang, ShiLi. Interaction of NiSi with dopants for metallic source/drain applications. JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B[J]. 2010, 第 1 作者28(1): C1I1-C1I11, https://www.webofscience.com/wos/woscc/full-record/WOS:000275511800013.[211] Luo, Jun, Qiu, ZhiJun, Zhang, David Wei, Hellstrom, PerErik, Ostling, Mikael, Zhang, ShiLi. Effects of Carbon on Schottky Barrier Heights of NiSi Modified by Dopant Segregation. IEEE ELECTRON DEVICE LETTERS[J]. 2009, 第 1 作者 通讯作者 30(6): 608-610, http://dx.doi.org/10.1109/LED.2009.2018285.[212] 罗军, 庞照勇, 程珊珊, 倪蔚, 熊兆贤. 预烧温度对BZN微波陶瓷介电性能的影响. 功能材料[J]. 2004, 第 1 作者1335-1337, http://lib.cqvip.com/Qikan/Article/Detail?id=1000313541.[213] Zhou Xigeng, Zhang Chunmei, Luo Jun, Chen Yinghua, Shao Zehuai, Li Qiong. 混配型茂基稀土氯化物Ln(C5H5)(C5H4CH3)Cl(Ln=Y,Gd,Er,Yb)的合成和结构研究. 复旦学报:自然科学版[J]. 2003, 第 3 作者42(6): 1025-1032, http://lib.cqvip.com/Qikan/Article/Detail?id=8888037.[214] 陈文辉, 罗军, 赵超. 固态纳米孔: 下一代DNA测序技术-原理、工艺与挑战. 中国科学: 生命科学. 第 2 作者44: https://www.sciengine.com/doi/10.1360/N052014-00091.