基本信息

李文明  男  硕导  中国科学院计算技术研究所
电子邮件: liwenming@ict.ac.cn
通信地址: 北京市海淀区中关村科学院南路6号
邮政编码: 100190

研究领域

高通量数据流众核芯片架构;异构数据流智能芯片架构;大规模并行模拟平台

招生信息

   
招生专业
081201-计算机系统结构
招生方向
高通量众核体系结构,智能芯片体系结构,大规模并行模拟平台

教育背景

2010-09--2016-06   中国科学院计算技术研究所   博士学位
学历
研究生

学位

工学博士

工作经历

   
工作简历
2018-10~现在, 中国科学院计算技术研究所, 副研究员
2016-07~2018-09,中国科学院计算技术研究所, 助理研究员
科研项目

( 1 ) 高通量众核处理器访存数据通路优化研究, 负责人, 国家任务, 2019-01--2021-12
( 2 ) 面向E级原型系统的高能效众核处理器研究, 负责人, 国家任务, 2016-07--2018-06
( 3 ) 数据中心高通量处理器访存通路结构研究, 负责人, 研究所自选, 2017-01--2018-12
( 4 ) 千线程并行的众核CPU 体系结构和支撑技术研究, 参与, 国家任务, 2013-01--2016-12
( 5 ) 处理器性能评估模型技术合作项目, 负责人, 企业委托, 2015-03--2016-09
( 6 ) 综合数字芯片研制, 负责人, 企业委托, 2021-01--2021-12
( 7 ) 高通量众核处理器关键技术, 参与, 中国科学院计划, 2020-01--2021-12
( 8 ) 基带高通量运算阵列架构研究, 负责人, 企业委托, 2021-11--2022-10
( 9 ) 高能效数控协同数字信号加速架构研究, 负责人, 地方任务, 2022-01--2023-12
( 10 ) 高通量多模态数据流处理器芯片研究, 负责人, 地方任务, 2022-11--2025-10
( 11 ) 异构数据流处理器系统的研制, 参与, 国家任务, 2023-03--2026-02


教授课程

数字电路

授权/受理专利

[1]李文明,孙凝晖,谢向辉,李宏亮,郑方中央处理器的缓存区的缓存行的替换方法及其装置授权号:ZL201610460009.X 

[2]李文明,范东睿,张浩,王达,叶笑春一种面向众核处理器的全局感知数据主动预取方法及系统授权号:ZL201710433007.6 

[3]李文明,范东睿,张浩,王达,叶笑春一种众核片上网络失败任务甄别及资源重分配方法和系统授权号:ZL201710437407.4 

[4]李文明,叶笑春,范东睿,张浩,王达,吴冬冬面向通用字符串处理的硬件加速结构装置及控制方法授权号:ZL201810490966.6 

[5]李文明,叶笑春,范东睿,王达,张浩一种通用字符操作加速处理硬件装置及控制方法授权号:ZL201810995831.5 

[6]李文明,叶笑春安述倩姜志颖王晨晖范东睿一种哈希硬件处理装置及方法授权号:ZL2019104139590 

[7]李文明,叶笑春,安述倩,姜志颖,王晨晖,范东睿一种用于区块链的处理装置及方法. 授权号:ZL2019105054072 

[8]李文明,安述倩,吴萌,吴海彬,刘艳欢,叶笑春,范东睿基于阻变存储器的通用区块链应用处理加速方法及系统授权号:ZL201911001197X 

[9]李文明叶笑春孙凝晖范东睿王达马丽娜朱亚涛张洋一种异常事件自动推送及基于历史操作的监控方法及系统. 授权号:ZL201611148500.5 

[10]李文明朱亚涛叶笑春王达张浩范东睿姜志颖基于检测帧的视频监控系统故障识别、定位及告警系统及其方法. 授权号:ZL201710047382.7 

[11]李文明,安述倩,吴海彬,吴萌,刘艳欢,叶笑春,范东睿面向数据流处理器的功耗控制方法及装置. 受理号:CN202210270118.0 

[12]李文明,安述倩,吴海彬,刘艳欢,张昆明,叶笑春,范东睿带宽控制单元、处理器芯片以及访存流量控制方法. 受理号:CN202210230341.2 

[13]李文明,安述倩,吴海彬,刘艳欢,吴萌,叶笑春,范东睿高通量众核数据流处理器及其任务执行方法. 受理号:CN202111673269.2 

[14]李文明,赵继业,曹华伟,范东睿一种温度感知总线带宽控制方法、系统以及装置. 受理号:CN202110935915.1 

[15]李文明,叶笑春一种数据流架构中循环程序执行的方法及系统,受理号:CN202110267893.6 

发表论文

[1] 范志华, 吴欣欣, 李文明, 曹华伟, 安学军, 叶笑春, 范东睿. 面向低精度神经网络的数据流体系结构优化. 计算机研究与发展[J]. 2023, 60(1): 43-58, http://lib.cqvip.com/Qikan/Article/Detail?id=7108741862.

[2] Mo Zou, Mingyu Yan, Wenming Li, Zhimin Tang, Xiaochun Ye, Dongrui Fan. GEM: Execution-Aware Cache Management for Graph Analytics. ICA3PPnull. 2022. 

[3] Zhihua Fan, Wenming Li, Tianyu Liu, Xuejun An, Xiaochun Ye, Dongrui Fan. A Routing-Aware Mapping Method for Dataflow Architectures. International Conference on Network and Parallel Computingnull. 2022.

 [4] Zhihua Fan, Wenming Li, Tianyu Liu, Shengzhong Tang, Zhen Wang, Xuejun An, Xiaochun Ye, Dongrui Fan. A Loop Optimization Method for Dataflow Architecture. HPCCnull. 2022.

 [5] Sun, Gongjian, Yan, Mingyu, Wang, Duo, Li, Han, Li, Wenming, Ye, Xiaochun, Fan, Dongrui, Xie, Yuan. Multi-node Acceleration for Large-scale GCNs. IEEE TRANSACTIONS ON COMPUTERS[J]. 2022.

 [6] Feng, YuJing, Li, DeJian, Tan, Xu, Ye, XiaoChun, Fan, DongRui, Li, WenMing, Wang, Da, Zhang, Hao, Tang, ZhiMin. Accelerating Data Transfer in Dataflow Architectures Through a Look-Ahead Acknowledgment Mechanism. JOURNAL OF COMPUTER SCIENCE AND TECHNOLOGY[J]. 2022, 37(4): 942-959.

 [7] Zhihua Fan, Wenming Li, Tianyu Liu, Shengzhong Tang, Zhen Wang, Xuejun An, Xiaochun Ye, Dongrui Fan. A Loop Optimization Method for Dataflow. High Performance Computing and Communicationsnull. 2022.

 [8] Yan, Mingyu, Zou, Mo, Yang, Xiaocheng, Li, Wenming, Ye, Xiaochun, Fan, Dongrui, Xie, Yuan. Characterizing and Understanding HGNNs on GPUs. IEEE COMPUTER ARCHITECTURE LETTERS[J]. 2022, 21(2): 69-72.

 [9] Lin, Haiyang, Yan, Mingyu, Yang, Xiaocheng, Zou, Mo, Li, Wenming, Ye, Xiaochun, Fan, Dongrui. Characterizing and Understanding Distributed GNN Training on GPUs. IEEE COMPUTER ARCHITECTURE LETTERS[J]. 2022, 21(1): 21-24, http://dx.doi.org/10.1109/LCA.2022.3168067.

[10] Wang, Yinshen, Li, Wenming, Liu, Tianyu, Zhou, Liangjiang, Wang, Bingnan, Fan, Zhihua, Ye, Xiaochun, Fan, Dongrui, Ding, Chibiao. Characterization and Implementation of Radar System Applications on a Reconfigurable Dataflow Architecture. IEEE COMPUTER ARCHITECTURE LETTERS[J]. 2022, 21(2): 121-124.

 [11] Xinxin Wu, Zhihua Fan, Tianyu Liu, Wenming Li, Xiaochun Ye, Dongrui Fan. LRP: Predictive output activation based on SVD approach for CNNs acceleration. Design, Automation and Test in Europenull. 2022.

 [12] Liu, Xin, Yan, Mingyu, Song, Shuhan, Lv, Zhengyang, Li, Wenming, Sun, Guangyu, Ye, Xiaochun, Fan, Dongrui. GNNSampler: Bridging the Gap between Sampling Algorithms of GNN and Hardware. 2021.

 [13] Li, Yi, Wu, Meng, Ye, Xiaochun, Li, Wenming, Xue, Rui, Wang, Da, Zhang, Hao, Fan, Dongrui. An efficient scheduling algorithm for dataflow architecture using loop-pipelining. INFORMATION SCIENCES[J]. 2021, 547: 1136-1153, http://dx.doi.org/10.1016/j.ins.2020.09.029.

[14] Li, Han, Yan, Mingyu, Yang, Xiaocheng, Deng, Lei, Li, Wenming, Ye, Xiaochun, Fan, Dongrui, Xie, Yuan. Hardware Acceleration for GCNs via Bidirectional Fusion. IEEE COMPUTER ARCHITECTURE LETTERS[J]. 2021, 20(1).

 [15] Dongrui Fan. Alleviating Imbalance in Synchronous Distributed Training of Deep Neural Networks. international conference on Parallel & Distributed Processing with Applications(ISPA). 2021.

 [16] 吴欣欣, 欧焱, 李文明, 王达, 张浩, 范东睿. 基于粗粒度数据流架构的稀疏卷积神经网络加速. 计算机研究与发展[J]. 2021, 58(7): 1504-1517, http://lib.cqvip.com/Qikan/Article/Detail?id=7105055136.

[17] Wu, Xinxin, Li, Yi, Ou, Yan, Li, Wenming, Sun, Shibo, Xu, Wenxing, Fan, Dongrui, Qiu, M. Accelerating Sparse Convolutional Neural Networks Based on Dataflow Architecture. ALGORITHMS AND ARCHITECTURES FOR PARALLEL PROCESSING, ICA3PP 2020, PT IInull. 2020, 12453: 14-31.

 [18] yi li, Meng Wu, Wenming Li, Rui Xue, Dongrui Fan, Xiaochun Ye. An Efficient Multicast Router using Shared-Buffer with Packet Merging for Dataflow Architecture. NOCSnull. 2020.

[19] 安述倩, 李文明, 范志华, 吴海彬, 吴萌, 王达, 张浩, 唐志敏. NDP-Ledger:面向区块链应用的通用高通量加速架构. 高技术通讯[J]. 2020, 30(11): 1093-1103, http://lib.cqvip.com/Qikan/Article/Detail?id=7103474627.
[20] Ou, Yan, Shen, Chongfei, Feng, Yujing, Wu, Xinxin, Li, Wenming, Ye, Xiaochun, Fan, Dongrui, Qiu, M. CTA: A Critical Task Aware Scheduling Mechanism for Dataflow Architecture. ALGORITHMS AND ARCHITECTURES FOR PARALLEL PROCESSING, ICA3PP 2020, PT Inull. 2020, 12452: 61-77, [21] 叶笑春, 李文明, 张洋, 张浩, 王达, 范东睿. 高通量众核处理器设计. 数据与计算发展前沿[J]. 2020, 2(1): 70-84, https://kns.cnki.net/KCMS/detail/detail.aspx?dbcode=CJFQ&dbname=CJFDLAST2020&filename=KYXH202001006&v=MDU4OTk4ZVgxTHV4WVM3RGgxVDNxVHJXTTFGckNVUjd1Zlp1Wm5GaXZuVUwzTkxqVFRackc0SE5ITXJvOUZZb1I=.
[22] 欧焱, 冯煜晶, 李文明, 叶笑春, 王达, 范东睿. 面向数据流结构的指令内访存冲突优化研究. 计算机研究与发展[J]. 2019, 56(12): 2720-2732, http://lib.cqvip.com/Qikan/Article/Detail?id=7100658631.
[23] Li, Wenming, Ye, Xiaochun, Wang, Da, Zhang, Hao, Tang, Zhimin, Fan, Dongrui, Sun, Ninghui. PIM-WEAVER: A High Energy-efficient, General-purpose Acceleration Architecture for String Operations in Big Data Processing. SUSTAINABLE COMPUTING-INFORMATICS & SYSTEMS[J]. 2019, 21: 129-142, http://dx.doi.org/10.1016/j.suscom.2019.01.006.
[24] Wenming Li, Xiaochun Ye, Da Wang, Hao Zhang, Zhimin Tang, Dongrui Fan, Ninghui Sun. PIM-WEAVER: A High Energy-efficient, General-purpose Acceleration Architecture for String Operations in Big Data Processing. SUSTAINABLE COMPUTING: INFORMATICS AND SYSTEMS. 2019, 21: 129-142, http://dx.doi.org/10.1016/j.suscom.2019.01.006.
[25] 向陶然, 叶笑春, 李文明, 冯煜晶, 谭旭, 张浩, 范东睿. 基于细粒度数据流架构的稀疏神经网络全连接层加速. 计算机研究与发展[J]. 2019, 56(6): 1192-1204, http://lib.cqvip.com/Qikan/Article/Detail?id=7002192926.
[26] Xiang Taoran, Feng Yujing, Ye Xiaochun, Tan Xu, Li Wenming, Zhu Yatao, Wu Meng, Zhang Hao, Fan Dongrui, IEEE. Accelerating CNN Algorithm with Fine-grained Dataflow Architectures. IEEE 20TH INTERNATIONAL CONFERENCE ON HIGH PERFORMANCE COMPUTING AND COMMUNICATIONS / IEEE 16TH INTERNATIONAL CONFERENCE ON SMART CITY / IEEE 4TH INTERNATIONAL CONFERENCE ON DATA SCIENCE AND SYSTEMS (HPCC/SMARTCITY/DSS)null. 2018, 243-251, http://dx.doi.org/10.1109/HPCC/SmartCity/DSS.2018.00063.
[27] Xu Tan, Xiao-Chun Ye, Xiao-Wei Shen, Yuan-Chao Xu, Da Wang, Lunkai Zhang, Wen-Ming Li, Dong-Rui Fan, Zhi-Min Tang. A Pipelining Loop Optimization Method for Dataflow Architecture. 计算机科学技术学报:英文版[J]. 2018, 33(1): 116-130, http://lib.cqvip.com/Qikan/Article/Detail?id=674567291.
[28] Tan, Xu, Ye, XiaoChun, Shen, XiaoWei, Xu, YuanChao, Wang, Da, Zhang, Lunkai, Li, WenMing, Fan, DongRui, Tang, ZhiMin. A Pipelining Loop Optimization Method for Dataflow Architecture. JOURNAL OF COMPUTER SCIENCE AND TECHNOLOGY[J]. 2018, 33(1): 116-130, http://lib.cqvip.com/Qikan/Article/Detail?id=674567291.
[29] Tan, Xu, Shen, XiaoWei, Ye, XiaoChun, Wang, Da, Fan, DongRui, Zhang, Lunkai, Li, WenMing, Zhang, ZhiMin, Tang, ZhiMin. A Non-Stop Double Buffering Mechanism for Dataflow Architecture. JOURNAL OF COMPUTER SCIENCE AND TECHNOLOGY[J]. 2018, 33(1): 145-157, http://lib.cqvip.com/Qikan/Article/Detail?id=674567293.
[30] Li Wenming, Ye Xiaochun, Wang Da, Zhang Hao, Wu Dongdong, Zhang Zhimin, Fan Dongrui, Chen JJ, Yang LT. WEAVER: An Energy Efficient, General-Purpose Acceleration Architecture for String Operations in Big Data Applications. 2018 IEEE INT CONF ON PARALLEL & DISTRIBUTED PROCESSING WITH APPLICATIONS, UBIQUITOUS COMPUTING & COMMUNICATIONS, BIG DATA & CLOUD COMPUTING, SOCIAL COMPUTING & NETWORKING, SUSTAINABLE COMPUTING & COMMUNICATIONSnull. 2018, 47-54, [31] Fan, Dongrui, Li, Wenming, Ye, Xiaochun, Wang, Da, Zhang, Hao, Tang, Zhimin, Sun, Ninghui, IEEE. SmarCo: An Efficient Many-Core Processor for High-Throughput Applications in Datacenters. 2018 24TH IEEE INTERNATIONAL SYMPOSIUM ON HIGH PERFORMANCE COMPUTER ARCHITECTURE (HPCA)null. 2018, 596-607, [32] Shen, XiaoWei, Ye, XiaoChun, Tan, Xu, Wang, Da, Zhang, Lunkai, Li, WenMing, Zhang, ZhiMin, Fan, DongRui, Sun, NingHui. An Efficient Network-on-Chip Router for Dataflow Architecture. JOURNAL OF COMPUTER SCIENCE AND TECHNOLOGY[J]. 2017, 32(1): 11-25, [33] 张洋, 李文明, 叶笑春, 王达, 范东睿, 李宏亮, 唐志敏, 孙凝晖. LFF:一种面向大数据应用的众核处理器访存公平性调度机制. 高技术通讯[J]. 2017, 27(2): 103-111, http://lib.cqvip.com/Qikan/Article/Detail?id=672300314.
[34] 方国庆, 李文明, 余洋, 张洋, 叶笑春, 安虹. 高通量众核并行模拟加速技术研究. 计算机工程[J]. 2017, 34(4): 73-78,89, http://lib.cqvip.com/Qikan/Article/Detail?id=672135421.
[35] Qi Yuqiong, Ma Lina, Li Wenming, Ye Xiaochun, Wang Da, Fan Dongrui, Sun Ninghui, Chen J, Yang LT. ACCC: An Acceleration Mechanism for Character Operation based on Cache Computing in Big Data Applications. PROCEEDINGS OF 2016 IEEE 18TH INTERNATIONAL CONFERENCE ON HIGH PERFORMANCE COMPUTING AND COMMUNICATIONS; IEEE 14TH INTERNATIONAL CONFERENCE ON SMART CITY; IEEE 2ND INTERNATIONAL CONFERENCE ON DATA SCIENCE AND SYSTEMS (HPCC/SMARTCITY/DSS)null. 2016, 608-615, http://dx.doi.org/10.1109/HPCC-SmartCity-DSS.2016.56.
[36] Zhu Yatao, Ye Xiaochun, Wang Da, Li Wenming, Zhang Yang, Fan Dongrui, Zhang Zhimin, Tang Zhimin, IEEE. A Framework for Energy-efficient Optimization on Multi-Cores. 2016 SEVENTH INTERNATIONAL GREEN AND SUSTAINABLE COMPUTING CONFERENCE (IGSC)null. 2016, http://apps.webofknowledge.com/CitedFullRecord.do?product=UA&colName=WOS&SID=5CCFccWmJJRAuMzNPjj&search_mode=CitedFullRecord&isickref=WOS:000402169700032.
[37] 李文明, 叶笑春, 张洋, 宋风龙, 王达, 唐士斌, 范东睿, 谢向辉. BDSim:面向大数据应用的组件化高可配并行模拟框架. 计算机学报[J]. 2015, 38(10): 1959-1975, http://lib.cqvip.com/Qikan/Article/Detail?id=666506311.
[38] Li Wenming, Fan Lingjun, Wang Zihou, Ye Xiaochun, Wang Da, Zhang Hao, Zhang Liang, Fan Dongrui, Xie Xianghui, IEEE. Thread ID Based Power Reduction Mechanism for Multi-thread Shared Set-associative Caches. 2015 SIXTH INTERNATIONAL GREEN COMPUTING CONFERENCE AND SUSTAINABLE COMPUTING CONFERENCE (IGSC)null. 2015, http://apps.webofknowledge.com/CitedFullRecord.do?product=UA&colName=WOS&SID=5CCFccWmJJRAuMzNPjj&search_mode=CitedFullRecord&isickref=WOS:000380428700018.
[39] 李文明, 叶笑春, 王达, 郑方, 李宏亮, 林晗, 范东睿, 孙凝晖. MACT:高通量众核处理器离散访存请求批量处理机制. 计算机研究与发展[J]. 2015, 52(6): 1254-1265, http://lib.cqvip.com/Qikan/Article/Detail?id=665059268.
[40] Li Wenming, Zhang Liang, Ye Xiaochun, Wang Da, Zhang Hao, Wang Zihou, Fan Dongrui, IEEE. A High-Density Data Path Implementation fitting for HTC Applications. 2015 SIXTH INTERNATIONAL GREEN COMPUTING CONFERENCE AND SUSTAINABLE COMPUTING CONFERENCE (IGSC)null. 2015, http://apps.webofknowledge.com/CitedFullRecord.do?product=UA&colName=WOS&SID=5CCFccWmJJRAuMzNPjj&search_mode=CitedFullRecord&isickref=WOS:000380428700059.
[41] 李文明, 叶笑春, 张洋, 宋风龙, 王达, 唐士斌, 范东睿, 谢向辉. BDSim:面向大数据应用的组件化高可配并行模拟框架. 计算机学报[J]. 2015, 38(10): 1959-1975, http://lib.cqvip.com/Qikan/Article/Detail?id=666506311.
[42] 李文明, 叶笑春, 王达, 郑方, 李宏亮, 林晗, 范东睿, 孙凝晖. MACT:高通量众核处理器离散访存请求批量处理机制. 计算机研究与发展[J]. 2015, 52(6): 1254-1265, http://lib.cqvip.com/Qikan/Article/Detail?id=665059268.
[43] Song, Fenglong, Tang, Shibin, Li, Wenming, Miao, Futao, Zhang, Hao, Fan, Dongrui, Liu, Zhiyong. CRANarch: A feasible processor micro-architecture for Cloud Radio Access Network. MICROPROCESSORS AND MICROSYSTEMS[J]. 2014, 38(8): 1025-1036, http://dx.doi.org/10.1016/j.micpro.2014.08.003.
[44] 范涛, 刘高辉, 叶笑春, 李文明, 宋爽, 范东睿. SPARC平台模拟器源码级调试系统的研究与实现. 计算机工程与应用[J]. 2013, 49(4): 65-70, http://lib.cqvip.com/Qikan/Article/Detail?id=44810940.
[45] 唐士斌, 宋风龙, 王达, 李文明, 刘志勇. 基于硬件辅助的用户态并行程序记录方法. 小型微型计算机系统[J]. 2012, 33(10): 2243-2248, http://lib.cqvip.com/Qikan/Article/Detail?id=43235279.


获奖及荣誉

北京市科技新星计划(创新新星)(2022) 

计算所优秀科研人员(2021) 

北京市科技发明一等奖(2020) 

中国产学研促进会产学研成果二等奖(2020) 

计算所优秀科研人员(2017) 

计算所优秀共产党员(2016) 

国家奖学金博士奖(2015) 

曙光博士奖(2014) 

国科大三好学生(2012) 

国科大优秀学生干部(2012


指导学生

已指导学生

李丹峰  硕士研究生  085208-电子与通信工程  

现指导学生

王国旋  硕士研究生  085404-计算机技术  

严开  硕士研究生  085404-计算机技术  

杨煜  硕士研究生  085400-电子信息  

强子清  硕士研究生  081200-计算机科学与技术  

冯嘉龙  硕士研究生  085400-电子信息