基本信息
李永亮  男  博导  中国科学院微电子研究所
电子邮件: liyongliang@ime.ac.cn
通信地址: 北京市朝阳区北土城西路三号
邮政编码:

招生信息

   
招生专业
080903-微电子学与固体电子学
140100-集成电路科学与工程
招生方向
亚10纳米CMOS器件及集成技术,高迁移率沟道FinFET&GAA&CFET器件及集成技术

教育背景

2007-09--中国科学院研究生院   博士
2004-09--辽宁大学   硕士
2000-09--辽宁大学   学士

工作经历

   
工作简历
2018-05~现在, 中国科学院微电子研究所, 正高级工程师
2011-08~现在, 联华电子公司(新加坡), 主任工程师
2007-09~现在, 中国科学院研究生院, 博士
2004-09~现在, 辽宁大学, 硕士
2000-09~现在, 辽宁大学, 学士

专利与奖励

   
专利成果
( 1 ) 一种芯片和芯片的制造方法, 2022, 第 6 作者, 专利号: CN114093782A

( 2 ) 一种半导体器件及其制备方法、电子设备, 2022, 第 5 作者, 专利号: CN114038911A

( 3 ) 一种半导体器件的制备方法及半导体器件, 2022, 第 9 作者, 专利号: CN114005826A

( 4 ) 半导体结构及其形成方法, 2021, 第 2 作者, 专利号: CN113809011A

( 5 ) 一种半导体器件及其制造方法、电子设备, 2021, 第 1 作者, 专利号: CN113782605A

( 6 ) 一种半导体器件及其制作方法、电子设备, 2021, 第 1 作者, 专利号: CN113690219A

( 7 ) 一种堆叠纳米线/片器件及其制备方法, 2021, 第 5 作者, 专利号: CN113540246A

( 8 ) 一种半导体结构及其制备方法, 2021, 第 1 作者, 专利号: CN113517287A

( 9 ) 一种半导体器件的制造方法, 2021, 第 1 作者, 专利号: CN113506774A

( 10 ) 一种堆叠纳米线或片CMOS器件制备方法, 2021, 第 1 作者, 专利号: CN110729248B

( 11 ) 一种半导体器件及其制造方法, 2021, 第 6 作者, 专利号: CN113363214A

( 12 ) 一种半导体器件的制造方法, 2021, 第 1 作者, 专利号: CN113314423A

( 13 ) 半导体结构与其制作方法, 2021, 第 1 作者, 专利号: CN113314500A

( 14 ) 一种纳米线MIM阵列器件及制备方法, 2021, 第 6 作者, 专利号: CN113173555A

( 15 ) 一种Z 2 -FET器件及其制备方法、一种半导体器件, 2021, 第 9 作者, 专利号: CN113178489A

( 16 ) 一种半导体结构及其制备方法, 2021, 第 1 作者, 专利号: CN109003902B

( 17 ) 一种半导体器件的制造方法, 2021, 第 2 作者, 专利号: CN113130630A

( 18 ) 一种半导体器件的制造方法, 2021, 第 2 作者, 专利号: CN113130630A

( 19 ) 一种半导体器件的制造方法, 2021, 第 1 作者, 专利号: CN113130485A

( 20 ) 一种半导体器件的制造方法, 2021, 第 1 作者, 专利号: CN113130485A

( 21 ) 一种半导体器件及其制造方法, 2021, 第 1 作者, 专利号: CN113130488A

( 22 ) 一种半导体器件及其制造方法, 2021, 第 1 作者, 专利号: CN113013164A

( 23 ) 一种半导体器件及其制造方法, 2021, 第 1 作者, 专利号: CN112992899A

( 24 ) 一种NMOS晶体管及其制造方法、三维异质集成芯片, 2021, 第 6 作者, 专利号: CN112864229A

( 25 ) 一种半导体结构及其形成方法, 2021, 第 2 作者, 专利号: CN112768342A

( 26 ) 半导体结构及其形成方法, 2021, 第 2 作者, 专利号: CN112466945A

( 27 ) 内侧墙的刻蚀方法、刻蚀气体及纳米线器件的制备方法, 2021, 第 3 作者, 专利号: CN110938434B

( 28 ) 一种半导体器件及其制作方法、集成电路以及电子设备, 2021, 第 1 作者, 专利号: CN112185892A

( 29 ) 一种半导体器件及其制造方法、电子设备, 2021, 第 1 作者, 专利号: CN112186040A

( 30 ) 一种半导体器件的制作方法、半导体器件以及电子设备, 2020, 第 1 作者, 专利号: CN112038291A

( 31 ) 一种环栅半导体器件及制作方法、电子设备, 2020, 第 1 作者, 专利号: CN111710718A

( 32 ) 一种鳍状半导体器件及其制作方法、电子设备, 2020, 第 1 作者, 专利号: CN111710716A

( 33 ) 一种鳍式场效应晶体管及其制作方法、电子设备, 2020, 第 1 作者, 专利号: CN111710713A

( 34 ) 一种电荷捕获型存储器及其制作方法, 2020, 第 6 作者, 专利号: CN111463217A

( 35 ) 半导体器件及其制作方法、集成电路及电子设备, 2020, 第 1 作者, 专利号: CN111446297A

( 36 ) 一种金属纳米结构及其制作方法、电子器件、电子设备, 2020, 第 5 作者, 专利号: CN111415902A

( 37 ) 一种刻蚀方法及系统、刻蚀控制装置、电子器件及设备, 2020, 第 6 作者, 专利号: CN111370308A

( 38 ) 一种堆叠纳米线或片环栅器件及其制备方法, 2020, 第 1 作者, 专利号: CN111312819A

( 39 ) 半导体器件及其制备方法、集成电路及电子设备, 2020, 第 1 作者, 专利号: CN111180520A

( 40 ) 一种半导体器件及其制备方法、集成电路及电子设备, 2020, 第 1 作者, 专利号: CN111180519A

( 41 ) 一种微纳通孔的制备方法及具有微纳通孔的结构, 2020, 第 3 作者, 专利号: CN111115561A

( 42 ) 一种鳍状结构、半导体器件及其制备方法, 2020, 第 2 作者, 专利号: CN111029258A

( 43 ) 一种堆叠纳米线或片环栅CMOS器件的制备方法, 2020, 第 1 作者, 专利号: CN110896055A

( 44 ) 一种鳍状结构及半导体器件的制备方法, 2020, 第 1 作者, 专利号: CN110896034A

( 45 ) 一种鳍状结构的制备方法以及半导体器件的制备方法, 2020, 第 1 作者, 专利号: CN110752156A

( 46 ) 一种鳍状结构及半导体器件的制备方法, 2020, 第 1 作者, 专利号: CN110752155A

( 47 ) 一种与堆叠纳米线或片兼容的输入输出器件及制备方法, 2020, 第 1 作者, 专利号: CN110739272A

( 48 ) 一种接触孔制备方法, 2019, 第 1 作者, 专利号: CN110634801A

( 49 ) 一种金属纳米线或片的制作方法及纳米线或片, 2019, 第 6 作者, 专利号: CN110620033A

( 50 ) 一种半导体器件及其制作方法及包括该器件的电子设备, 2019, 第 1 作者, 专利号: CN110224029A

( 51 ) 一种微电极结构及其制作方法及包括该器件的电子设备, 2019, 第 3 作者, 专利号: CN110174453A

( 52 ) 纳米线、纳米线围栅器件以及纳米孔筛的制备方法, 2019, 第 2 作者, 专利号: CN110164762A

( 53 ) 三维固态电容器的制造方法、三维固态电容器及电子设备, 2019, 第 3 作者, 专利号: CN110164851A

( 54 ) 一种红外吸收薄膜结构及制作方法及其电子设备, 2019, 第 6 作者, 专利号: CN110137275A

( 55 ) 一种红外吸收薄膜及其制备方法, 2019, 第 6 作者, 专利号: CN110137308A

( 56 ) 一种各向异性刻蚀图形化聚酰亚胺层的方法, 2019, 第 4 作者, 专利号: CN110137073A

( 57 ) 一种微电极及其形成方法, 2019, 第 4 作者, 专利号: CN110104609A

( 58 ) 选择性刻蚀方法及纳米针尖结构的制备方法, 2019, 第 3 作者, 专利号: CN110002393A

( 59 ) 一种纳米线围栅器件及其制造方法, 2019, 第 2 作者, 专利号: CN109904234A

( 60 ) 半导体结构与其制作方法, 2019, 第 1 作者, 专利号: CN109887847A

( 61 ) 纳米线器件的制作方法, 2019, 第 1 作者, 专利号: CN109830525A

( 62 ) 半导体结构与其制作方法, 2019, 第 1 作者, 专利号: CN109712871A

( 63 ) 环栅纳米线晶体管及其制备方法, 2019, 第 3 作者, 专利号: CN109599335A

( 64 ) 半导体器件与其制作方法, 2019, 专利号: CN109545748A

( 65 ) 半导体器件与其制作方法, 2019, 第 2 作者, 专利号: CN109473468A

( 66 ) 半导体结构与其制作方法, 2018, 第 1 作者, 专利号: CN108878263A

( 67 ) 半导体器件与其制作方法, 2018, 第 2 作者, 专利号: CN108831926A

( 68 ) 一种纳米线沟道制作方法, 2018, 第 3 作者, 专利号: CN108807149A

( 69 ) 一种半导体器件的制造方法, 2018, 第 1 作者, 专利号: CN108695152A

( 70 ) 一种互补型金属氧化物半导体场效应晶体管的制备方法, 2013, 第 2 作者, 专利号: CN102915917A

( 71 ) Method for manufacturing a metal gate electrode/high K dielectric gate stack, 2012, 第 2 作者, 专利号: US8258063(B2)

( 72 ) PMOS器件叠层结构的制备和栅功函数调节方法, 2012, 第 2 作者, 专利号: CN102651313A

( 73 ) 高K栅介质/金属栅叠层栅结构刻蚀后聚合物去除方法, 2012, 第 2 作者, 专利号: CN102468131A

( 74 ) 一种高K栅介质的刻蚀方法, 2012, 第 2 作者, 专利号: CN102468157A

( 75 ) Method for etching Mo-based metal gate stack with aluminium nitride barrier, 2012, 第 1 作者, 专利号: US8163620(B2)

( 76 ) 金属栅层/高K栅介质层的叠层结构刻蚀后的清洗方法, 2012, 第 1 作者, 专利号: CN102403198A

( 77 ) 金属栅层/高K栅介质层的叠层结构的刻蚀方法, 2012, 第 1 作者, 专利号: CN102386076A

( 78 ) 一种HfSiAlON高K介质的干法刻蚀方法, 2012, 第 1 作者, 专利号: CN102315115A

( 79 ) 一种Mo基/TaN金属栅叠层结构的刻蚀方法, 2012, 第 1 作者, 专利号: CN102315117A

( 80 ) 一种先栅工艺中叠层金属栅结构的制备方法, 2011, 第 2 作者, 专利号: CN102280375A

( 81 ) 以氮化铝为势垒层的Mo基金属栅叠层结构的刻蚀方法, 2011, 第 1 作者, 专利号: CN102237269A

( 82 ) 一种插入式TiN金属栅叠层结构的制备和刻蚀方法, 2011, 第 1 作者, 专利号: CN102237268A

( 83 ) 一种金属栅极/高K栅介质叠层结构的制备和成形方法, 2011, 第 2 作者, 专利号: CN102214563A

( 84 ) TaN材料腐蚀溶液以及TaN材料腐蚀方法, 2011, 第 1 作者, 专利号: CN101397499B

( 85 ) 一种克服钼基金属栅叠层结构制备中钼与硅反应的方法, 2011, 第 1 作者, 专利号: CN102054680A

( 86 ) 一种选择性去除TaN金属栅电极层的方法, 2010, 第 1 作者, 专利号: CN101656208A

( 87 ) 一种HfSiON高K栅介质材料的腐蚀剂及腐蚀方法, 2010, 第 1 作者, 专利号: CN101619457A

出版信息

   
发表论文
(1) Si0.5Ge0.5 Channel FinFET Preparation on an In Situ Doped SiGe SRB and Its Electrical Characteristics Optimization, ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY, 2023, 通讯作者
(2) Novel Stacked SiGe/Si FinFET Device with Subthreshold Swing of 68 mV/dec Using Optimized Thermal Budget and Channel Passivation Technology, SILICON, 2023, 通讯作者
(3) Hybrid integrated Si nanosheet GAA-FET and stacked SiGe/Si FinFET using selective channel release strategy, MICROELECTRONIC ENGINEERING, 2023, 通讯作者
(4) A stacked high-Ge-concentration SiGe/Ge multilayer on a novel Ge plus SiGe SRB structure for the gate-all-around MOSFETS, JOURNAL OF MATERIALS SCIENCE-MATERIALS IN ELECTRONICS, 2022, 通讯作者
(5) Advanced Process and Electron Device Technology, Advanced process and electron device technology, TSINGHUA SCIENCE AND TECHNOLOGY, 2022, 第 13 作者
(6) Si0.5Ge0.5 channel introduction technique for the preparation of high mobility FinFET device, MATERIALS SCIENCE IN SEMICONDUCTOR PROCESSING, 2022, 第 1 作者
(7) Optimization of SiGe interface properties with ozone oxidation and a stacked HfO2/Al2O3 dielectric for a SiGe channel FinFET transistor, SEMICONDUCTOR SCIENCE AND TECHNOLOGY, 2022, 通讯作者
(8) 4-Levels Vertically Stacked SiGe Channel Nanowires Gate-All-Around Transistor with Novel Channel Releasing and Source and Drain Silicide Process, NANOMATERIALS, 2022, 通讯作者
(9) Integration of Si0.7Ge0.3 fin onto a bulk-Si substrate and its P-type FinFET device fabrication, SEMICONDUCTOR SCIENCE AND TECHNOLOGY, 2021, 第 1 作者
(10) Fabrication and selective wet etching of Si0.2Ge0.8/Ge multilayer for Si0.2Ge0.8 channel gate-all-around MOSFETs, MATERIALS SCIENCE IN SEMICONDUCTOR PROCESSING, 2021, 通讯作者
(11) Investigate on the Mechanism of HfO2/Si0.7Ge0.3 Interface Passivation Based on Low-Temperature Ozone Oxidation and Si-Cap Methods, NANOMATERIALS, 2021, 通讯作者
(12) Optimization of zero-level interlayer dielectric materials for gate-all-around silicon nanowire channel fabrication in a replacement metal gate process, MATERIALS SCIENCE IN SEMICONDUCTOR PROCESSING, 2021, 第 10 作者
(13) Experimental Investigation of As Preamorphization Implant on Electrical Property of Ti-Based Silicide Contacts, IEEE TRANSACTIONS ON ELECTRON DEVICES, 2021, 第 14 作者
(14) Investigation of thermal stability of Si0.7Ge0.3Si stacked multilayer with As ion-implantation, MATERIALS RESEARCH EXPRESS, 2021, 通讯作者
(15) Thermal stability issue of ultrathin Ti-based silicide for its application in prospective DRAM peripheral 3D FinFET transistors, JOURNAL OF MATERIALS SCIENCE-MATERIALS IN ELECTRONICS, 2021, 第 8 作者
(16) SiGe沟道三维器件关键集成技术研究进展, Research progress on key integration technologies of 3D devices with SiGe channel, 微纳电子与智能制造, 2021, 第 2 作者
(17) Novel Si/SiGe fin on insulator fabrication on bulk-Si substrate, MATERIALS RESEARCH EXPRESS, 2021, 通讯作者
(18) Fabrication of High-Mobility Si0.7Ge0.3 Channel FinFET for Optimization of Device Electrical Performance, ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY, 2021, 通讯作者
(19) Four-Period Vertically Stacked SiGe/Si Channel FinFET Fabrication and Its Electrical Characteristics, NANOMATERIALS, 2021, 第 1 作者
(20) An Investigation of Field Reduction Effect on NBTI Parameter Characterization and Lifetime Prediction Using a Constant Field Stress Method, IEEE TRANSACTIONS ON DEVICE AND MATERIALS RELIABILITY, 2020, 第 2 作者
(21) Investigation of Barrier Property of Amorphous Co-Ti Layer as Single Barrier/Liner in Local Co Interconnects, IEEE TRANSACTIONS ON ELECTRON DEVICES, 2020, 第 10 作者
(22) Insights Into the Effect of TiN Thickness Scaling on DC and AC NBTI Characteristics in Replacement Metal Gate pMOSFETs, IEEE TRANSACTIONS ON DEVICE AND MATERIALS RELIABILITY, 2020, 其他(合作组作者)
(23) Study of selective isotropic etching Si1-xGex in process of nanowire transistors, JOURNAL OF MATERIALS SCIENCE-MATERIALS IN ELECTRONICS, 2020, 第 3 作者
(24) High crystalline quality of SiGe fin fabrication with Si-rich composition area using replacement fin processing, High crystalline quality of SiGe fin fabrication with Si-rich composition area using replacement fin processing*, CHINESEPHYSICSB, 2020, 通讯作者
(25) iGe材料界面钝化研究新进展, 微纳电子技术, 2020, 通讯作者
(26) A New Capacitance Multiplier Structure with High Multiplication Factor for Ultra-Low-Frequency Filter in Biomedical Applications, JOURNALOFCIRCUITSSYSTEMSANDCOMPUTERS, 2020, 第 2 作者
(27) Impact of Charges at Ferroelectric/Interlayer Interface on Depolarization Field of Ferroelectric FET With Metal/Ferroelectric/Interlayer/Si Gate-Stack, IEEE TRANSACTIONS ON ELECTRON DEVICES, 2020, 第 8 作者
(28) Investigation of Ultrathin Ni Germanosilicide for Advanced pMOS Contact Metallization, IEEE TRANSACTIONS ON ELECTRON DEVICES, 2020, 其他(合作组作者)
(29) Hf0.5Zr0.5O2-based ferroelectric bionic electronic synapse device with highly symmetrical and linearity weight modification, ELECTRONICSLETTERS, 2020, 第 9 作者
(30) SiGe材料界面钝化研究新进展, Latest Research Progress of SiGe Material Interfacial Passivation, 微纳电子技术, 2020, 第 3 作者
(31) Investigation on thermal stability of Si0.7Ge0.3/Si stacked multilayer for gate-all-around MOSFETS, SEMICONDUCTOR SCIENCE AND TECHNOLOGY, 2020, 通讯作者
(32) Comparative study on NBTI kinetics in Si p-FinFETs with B2H6-based and SiH4-based atomic layer deposition tungsten (ALD W) filling metal, MICROELECTRONICS RELIABILITY, 2020, 第11作者
(33) Investigation on the formation technique of SiGe Fin for the high mobility channel FinFET device, JOURNAL OF MATERIALS SCIENCE-MATERIALS IN ELECTRONICS, 2020, 通讯作者
(34) Understanding Frequency Dependence of Trap Generation Under AC Negative Bias Temperature Instability Stress in Si p-FinFETs, IEEE ELECTRON DEVICE LETTERS, 2020, 其他(合作组作者)
(35) A high crystal quality of SiGe Fin fabrication with a Si-rich composition area using the replacement Fin processing, Chin. Phys. B, 2020, 通讯作者
(36) Influence of an ALD TiN capping layer on the PBTI characteristics of n-FinFET with ALD HfO2/TiN-capping/TiAl gate stacks, Influence of an ALD TiN capping layer on the PBTI characteristics of n-FinFET with ALD HfO2/TiN-capping/TiAl gate stacks, SCIENCE CHINA-INFORMATION SCIENCES, 2020, 第 9 作者
(37) Experimental investigation of fundamental film properties for Co1-xTix alloying films with different compositions (0 <= x <= 1), JOURNAL OF MATERIALS SCIENCE-MATERIALS IN ELECTRONICS, 2020, 第 9 作者
(38) Experimental study of the ultrathin oxides on SiGe alloy formed by low-temperature ozone oxidation, MATERIALS SCIENCE IN SEMICONDUCTOR PROCESSING, 2020, 第 10 作者
(39) Selective wet etching in fabricating SiGe nanowires with TMAH solution for gate-all-around MOSFETs, JOURNAL OF MATERIALS SCIENCE-MATERIALS IN ELECTRONICS, 2020, 通讯作者
(40) Study of Silicon Nitride Inner Spacer Formation in Process of Gate-all-around Nano-Transistors, NANOMATERIALS, 2020, 第 2 作者
(41) Understanding the mechanisms impacting the interface states of ozone-treated high-k/SiGe interfaces, SEMICONDUCTOR SCIENCE AND TECHNOLOGY, 2020, 第 7 作者
(42) Key Process Technologies for Stacked Double Si0.7Ge0.3 Channel Nanowires Fabrication, ECS Journal of Solid State Science and Technology, 2020, 第 1 作者
(43) Fabrication technique of the Si0.5Ge0.5 Fin for the high mobility channel FinFET device, SEMICONDUCTOR SCIENCE AND TECHNOLOGY, 2020, 通讯作者
(44) A Novel Dry Selective Isotropic Atomic Layer Etching of SiGe for Manufacturing Vertical Nanowire Array with Diameter Less than 20 nm, MATERIALS, 2020, 第 2 作者
(45) Accumulative total ionizing dose (TID) and transient dose rate (TDR) effects on planar and vertical ferroelectric tunneling-field-effect-transistors (TFET), MICROELECTRONICS RELIABILITY, 2020, 第 7 作者
(46) Investigation of NiGe Films Formed on Both n(+)- and p(+)-Ge with P and B Ion Implantation before Germanidation, ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY, 2019, 第 8 作者
(47) A novel three-layer graded SiGe strain relaxed buffer for the high crystal quality and strained Si0.5Ge0.5 layer epitaxial grown, JOURNAL OF MATERIALS SCIENCE-MATERIALS IN ELECTRONICS, 2019, 通讯作者
(48) Identification of a suitable passivation route for high-k/SiGe interface based on ozone oxidation, APPLIED SURFACE SCIENCE, 2019, 第 6 作者
(49) A High-Performance Source-Pocket Tunnel Field-Effect Transistor, 2019 CHINA SEMICONDUCTOR TECHNOLOGY INTERNATIONAL CONFERENCE (CSTIC), 2019, 第 8 作者
(50) High crystal quality strained Si0.5Ge0.5 layer with a thickness of up to 50 nm grown on the three-layer SiGe strain relaxed buffer, MATERIALS SCIENCE IN SEMICONDUCTOR PROCESSING, 2019, 通讯作者
(51) Comprehensive Study and Design of High-k/SiGe Gate Stacks with Interface-Engineering by Ozone Oxidation, ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY, 2019, 第 5 作者
(52) Process optimization of the Si0.7Ge0.3 Fin Formation for the STI first scheme, SEMICONDUCTOR SCIENCE AND TECHNOLOGY, 2019, 通讯作者
(53) NH3等离子体钝化对Al2O3/SiGe界面的影响, Effects of NH3 Plasma Passivation on the Interface of Al2O3/SiGe, 半导体技术, 2019, 第 6 作者
(54) Co-sputtering Co-Ti alloy as a single barrier/liner for Co interconnects and thermal stability enhancement using TiN metal capping, JOURNAL OF MATERIALS SCIENCE-MATERIALS IN ELECTRONICS, 2019, 第 8 作者
(55) Fabrication Technique for pMOSFET poly-Si/TaN/TiN/HfSiAlON Gate Stack, ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY, 2018, 第 1 作者
(56) Physical Mechanism Underlying the Time Exponent Shift in the Ultra-fast NBTI of High-k/Metal gated p-CMOSFETs, 2018 25TH IEEE INTERNATIONAL SYMPOSIUM ON THE PHYSICAL AND FAILURE ANALYSIS OF INTEGRATED CIRCUITS (IPFA), 2018, 第 5 作者
(57) Key technologies for dual high-k and dual metal gate integration, Key technologies for dual high-k and dual metal gate integration, 中国物理B:英文版, 2018, 第 1 作者
(58) Key technologies for dual high-k and dual metal gate integration, CHINESE PHYSICS B, 2018, 通讯作者
(59) Dry Etching of Metal Inserted Poly-Si Stack for Dual High-k and Dual Metal Gate Integration, ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY, 2018, 通讯作者
(60) Investigation of Key Technologies for Poly-Si/TaN/HfLaON/IL SiO2 Gate-Stacks in Advanced Device Applications, IEEE TRANSACTIONS ON ELECTRON DEVICES, 2014, 第 3 作者
(61) Fabrication of Bulk-Si FinFET using CMOS compatible process, MICROELECTRONIC ENGINEERING, 2012, 第 4 作者
(62) Dry etching of poly-Si/TaN/HfSiON gate stack for advanced complementary metal-oxide-semiconductor devices, Dry etching of poly-Si/TaN/HfSiON gate stack for advanced complementary metal-oxide-semiconductor devices, JOURNAL OF SEMICONDUCTORS, 2011, 通讯作者
(63) Dry etching of poly-Si/TaN/HfSiON gate stack for advanced complementary metal-oxide-semiconductor devices, Dry etching of poly-Si/TaN/HfSiON gate stack for advanced complementary metal-oxide-semiconductor devices, 半导体学报, 2011, 第 1 作者
(64) The fabrication and dry etching of poly-Si/TaN/Mo gate stack in the metal inserted poly-Si stack structure, MICROELECTRONIC ENGINEERING, 2011, 通讯作者
(65) Wet etching characteristics of a HfSiON high-k dielectric in HF-based solutions, Wet etching characteristics of a HfSiON high-k dielectric in HF-based solutions, 半导体学报, 2010, 第 1 作者
(66) Wet etching characteristics of a HfSiON high-k dielectric in HF-based solutions, Wet etching characteristics of a HfSiON high-k dielectric in HF-based solutions, JOURNAL OF SEMICONDUCTORS, 2010, 通讯作者
(67) TaN wet etch for application in dual-metal-gate integration technology, TaN wet etch for application in dual-metal-gate integration technology, 半导体学报, 2009, 第 1 作者
(68) TaN wet etch for application in dual-metal-gate integration technology, TaN wet etch for application in dual-metal-gate integration technology, JOURNAL OF SEMICONDUCTORS, 2009, 通讯作者
(69) 先栅工艺中高K/双金属栅集成研究新进展, Latest Development of Integration of High-k/Dual Metal Gate in Gate First Process, 微电子学, 2009, 第 1 作者
(70) VDMOSFET沟道区的研究, Study on the Channel Region of VDMOSFET, 辽宁大学学报:自然科学版, 2007, 第 3 作者
(71) 新型结终端技术, A New Junction Termination Technique, 辽宁大学学报:自然科学版, 2006, 第 2 作者

科研活动

   
科研项目
( 1 ) 5纳米先导技术研究, 参与, 国家任务, 2017-01--2020-12
( 2 ) 3-1纳米集成电路新器件与先导工艺, 参与, 中国科学院计划, 2019-10--2020-09
( 3 ) SiGe高迁移率沟道FinFET集成技术研究, 负责人, 研究所自主部署, 2019-03--2021-02
( 4 ) 2018年度中科院率先行动计划“B”类, 负责人, 中国科学院计划, 2019-01--2022-01
( 5 ) 鍺硅高迁移率沟道FinFET器件关键集成技术研究, 负责人, 地方任务, 2020-01--2022-12
( 6 ) 水平堆叠环栅器件研制与新型沟道原型器件研究, 负责人, 地方任务, 2019-07--2021-06
( 7 ) 适用于三维FinFET器件的高浓度鍺硅高迁移率沟道制备和钝化技术及机理研究, 负责人, 国家任务, 2021-01--2024-12
( 8 ) 鍺硅高迁移率沟道堆叠纳米线环栅器件集成技术研究, 负责人, 国家任务, 2023-01--2025-12
( 9 ) FinFET器件鍺硅高迁移率沟道制备技术研究, 负责人, 境内委托项目, 2021-12--2022-11
( 10 ) 堆叠纳米片围栅CMOS器件及集成技术研究, 参与, 境内委托项目, 2021-06--2024-05
( 11 ) GAA和FDSOI器件与先进制造核心技术, 参与, 中国科学院计划, 2022-11--2025-10
( 12 ) GAA晶体管核心工艺技术, 参与, 国家任务, 2022-01--2024-12