General

Name:Yayi Wei 
Gender:Male

Title:Professor

Education:Ph.D

E-Mail:weiyayi@ime.ac.cn 

Department:Integrated Circuit Advanced Process Center

Address:3 Beitucheng West Road, Chaoyang District, Beijing, PR China

Postcode:100029

Tel:+86-10-82995898

Fax:+86-10-82995684

 

Research Areas

193nm immersion lithography process; 

Computational lithography;

Optical proximity collection; 

Development and evaluation of litho materials; 

Development of litho equipment (track)


Education

•Ph.D., 1998,Max-Planck-Institute for Solid State Research/ University of Stuttgart, Germany

•Master, 1992, Institute of Electronics, Chinese Academy of Sciences, Beijing, China


Experience

   
Work Experience
2013-07~now, Institute of Microelectronics of Chinese Academy of Science, Professor
2009-08~2013-06, GLOBALOUNDRIES, Principal Member of Technica Staff
2007-08~2009-07, AZ Electronic Materials, Senior Staff Scientist
2001-05~2007-07, Infineon, New York Research Center, Senior Engineer/Staff Engineer
1998-10~2001-04, Oak Ridge National Lab, Postdoctorate/Research Staff Member 


Publications

   
Papers

[1] Lisong Dong, Wenhui Chen, Taian Fan, Xiaojing Su, Yayi Wei, Tianchun Ye. Mitigating the influence of wafer topography on implantation process in optical lithography [J]. Optics Letter, 42(15): 1-4. 2017.

[2]   Libin Zhang, Lisong Dong, Xiaojing Su, Yayi Wei, Tianchun Ye. New alignment mark designs in single patterning and self-aligned double patterning [J]. Microelectronic Engineering, 179: 18-24, 2017.

[3]   Libin Zhang, Lisong Dong, Xiaojing Su, and Yayi Wei. Characteristic study of image-based alignment for increasing accuracy in lithography application [J]. J. Vac. Sci. Technol. B. 35(6): 061601, 2017.

[4] Lisong Dong, Libin Zhang, Xiaojing Su, Jianfang He, Yayi Wei. Optimize the focus monitor mark in immersion lithography according to illumination type [J]. J. Micro/Nanolith. MEMS MOEMS, 16(3): 033505, 2017.

[5] Lijun Zhao, Lisong Dong, Wenhui Chen, Yayi Wei, Tianchun Ye, Liwan Yue, Yuntao Jiang, Qiang Wu. Necessity of Resist Model in Source Mask Optimization for Negative Tone Development Process [J]. J. Micro/Nanolith. MEMS MOEMS, 16(3): 033509, 2017.

[6] Wenhui Chen, Jianfang He, Lisong Dong, Yayi Wei. The principle and application of source mask optimization [J]. Semiconductor technology42(9): 641-649, 2017.

[7] L. Zhao, Y. Wei, and T. Ye. Analysis of multi-e-beam lithography for cutting layers at 7-nm node [J]. Journal of Micro/nanolithography Mems & Moems, 2016, 15(4).

[8] M. Guo, Z. Song, Y. Feng, Z. Tian, Q. Cao, and Y. Wei. Efficient source mask optimization method for reduction of computational lithography cycles and enhancement of process-window predictability [J]. Journal of Micro/ Nanolithography Mems & Moems, 2015, 14(4).

[9] 宋之洋,郭沫然,苏晓菁,刘艳松,粟雅娟,韦亚一一种离线光学邻近效应匹配方法的研究和仿真 [J]. Micronanoelctronic Technology, 2015, 52(3): 197-203.

[10] L. Meng, J. Gao, X. He, J. Li, and Y. Wei. CMOS-Compatible Top-Down Fabrication of Periodic SiO2 Nanostructures using a Single Mask [J]. Nanoscale Research Letters, 2015, 10(1):1-7.

[11] 韦亚一粟雅娟刘艳松先导光刻中的光学邻近效应修正[J]. 微纳电子技术, 2014, 51(3) :186-193.

[12] Y. Wei and D. Cho. An analysis of lithography solutions to 10 nm logic node and beyond [J]. Future-Fab International, 2013, 46(1): 44-49.

[13] Y. Wei and M. Kelling. Overlay control beyond 20nm node and challenges to EUV lithography [J]. Future-Fab International, 2011, 39(1).

[14] J. Choi, C. Wang, Y. Wei, E. Zielinski, W. Tseng, Y. Moon, M. Kelling, and L. Economikos. Planarization Specification for 22nm and Beyond BEOL CMP [J]. MRS Proceedings, 2010, 1249.

[15] Y. Wei, S. Brandl, F. Goodwin, and D. Back. 193nm Immersion-Related Defects and Strategies of Defect Reduction [J]. Future-Fab International, 2007.

[16] K. Petrillo, Y. Wei, R. Brainard, G. Denbeaux, D. Goldfarb, C. S. Koay, and O. Wood.  Are extreme ultraviolet resists ready for the 32 nm node? [J]. Journal of Vacuum Science & Technology B, 2007, 25(6): 2490-2495.

[17] Y. Wei, K. Petrillo, and P. Benson. Evaluating topcoat options for immersion litho resists [J]. Solid State Technology, 2006, 49(7):73-78.

[18] Y. Wei, N. Stepanenko, A. Laessig, L. Voelkel, and M. Sebald. Evaluation of 193-nm immersion resist without topcoat [J]. Journal of Micro/Nanolithography, MEMS, and MOEMS, 2006, 5(3), 033002-033002-8.

[19] M. Hu, A. Debaillie, Y. Wei, and G. Jellison. Chemical-Solution Deposition of Hafnia Films on Self-Assembled Molecular Monolayers [J]. Current Nanoscience, 2006, 2(1):13-32.

[20] Y. Wei, G. Eres, V. Merkulov, and D. Lowndes. Effect of catalyst film thickness on carbon nanotube growth by selective area chemical vapor deposition [J]. Applied Physics Letters, 2001, 78(10):1394-1396.

[21] Y. Lee, D. Norton, J. Budai, and Y. Wei. Enhanced Ultraviolet Photoconductivity in Semiconducting ZnGa2O4Thin Films [J]. Journal of Applied Physics, 2001, 90(8):3863-3866.

[22] J. Weis, Y. Wei, and K. Klitzing. Single-electron transistor probes two-dimensional electron system in the quantum Hall regime [J]. Microelectronic Engineering, 1999, 47(1-4):17-21.

[23] Y. Wei, J. Weis, K. Klitzing, and K. Eberl. Edge strips in the quantum hall regime imaged by a single-electron transistor [J]. Physical Review Letters, 1998, 81(8): 1674-1677.

[24] J. Weis, Y. Wei, and K. Klitzing. Probing the depletion region of a two-dimensional electron system in high magnetic fields [J]. Physica B Condensed Matter, 1998, 258(6):1-7.

[25] Y. He, Y. Wei, G. Zheng, M. Yu, and M. Liu. An exploratory study of the conduction mechanism of hydrogenated nanocrystalline silicon films [J]. Journal of applied physics, 1997, 82(7): 3408-3413.

[26] Y. Wei, G. Zheng, J. Shen, et al. Weak Localizatinn of Low Compensated n-Hg_(1-x) Cd_xTe [J]. Chinese Journal of Semiconductors, 1995.

[27] Y. Wei, G. Zhen, and Y. He. Study on Conductivities of nc-Si:H Films [J]. Journal of Functional Materials, 1994.

[28] Y. Wei, G Zheng, S Guo, and D. Tang. Magnetic field induced metal-insulator transition and thermally activated electronic transport in low compensated n-Hg1-xCdxTe [J]. Acta Physica Sinica, 1994.

[29] Y. Wei, J. Shen, G. Zheng, S. Guo, D. Tang, Z. Peng, and Y. Zhang. Study on SdH oscillation of 2-D electron gas in Si δ-doped AlxGa1-xAs/GaAs heterojunction [J]. Acta Physica Sinica, 1994, 43(2):282-288.

[30] Y. Wei, and Z. Tao. Optimal Design of the MCP Inclined Angle [J]. Infrared Technology, 1994.

[31] R. Jiang, J. Liu, Y. Zheng, G. Zheng, Y. Wei, and X. Shen. High Hole Mobility Si/Si1-xGex/Si Heterostructure [J]. Chinese Physics Letters, 1994, 11(2):116-118.

[32] Y. Wei and Z. Tao. The Causes of Large Degassing of MCP [J]. Infrared Technology, 1993.

[33] Y. Wei and Z. Tao. Theoretical analysis of MCP noise factor [J]. Journal of Electronics, 1993, 15(6): 655-658.

[34] Y. Wei. Study of the surface structure of lead silicate glass reduced by hydrogen [J]. Journal of Electronics & Information Technology, 1992.

[35] Y. Wei. Theoretical analysis of MCP self-saturation effect [J]. Journal of Applied Optics, 1992.

[36] J. Deng, and Y. Wei. Noise caused by nature radioactive isotopic elements contained in MCP [J]. Journal of Electronics & Information Technology, 1992

Patents

[1] L. Subramany, and Y. Wei. Enhancing resolution in lithographic processes using high refractive index materials. 2014, US 0,211,175

[2] Y. Wei. Defect removal process, 2014, US 0,246,605

[3] V. Sargunas, Y. Wei, J. Kim, and S. Kim. Methods of avoiding shadowing when forming source/drain implant regions on 3D semiconductor deveices, 2014, US 0,113,420

[4] Y. Wei, and S. Brandl. Method of Spin Coating a Film of Non-Uniform Thickness, 2010, US 7,662,436 B1

[5] Y. Wei. Patterning masks, methods and systems, 2008, US 0,070,126

[6] Y. Wei. Defect reduction in immersion lithography, 2007, US 0,166,640 A1

[7] S. Schmidt, T. Schafbauer, H. Liu, and Y. Wei. Structure and method for placement, sizing and shaping of dummy structures, 2006, US 7,071,074 B2

[8] J. Lee, D. Lowndes, V. Merkulo, G. Eres, Y. Wei, E. Greenbaum, and I. Lee. Catalyst-Induced Growth of Carbon Nanotubes on Tips of Cantilevers and Nanowires, 2004, US 6,755,956 B2


Books
(1) Yayi Wei, and Robert L. Brainard. Advanced processes for 193nm immersion lithography, SPIE Press, 2009-02.
(2) Yayi Wei. Theory and application of advanced lithography in Very Large Scale Integration, Scientific Press. 2016-06.


Conferences

[1]   Xiaojing Su, Lisong Dong, Jiaxin Lin, Ying Chen, Yayi Wei, Tianchun Ye, Chunshan Du, Feng Shao, Liguo Zhang, Yu Zhu. Hotspots fixing flow in NTD process by using DTCO methodology at 10nm metal 1 layer [C]. Proc. SPIE., 10148: 1014817, 2017.

[2]   Lisong Dong, Wenhui Chen, Xiaojing Su, Yayi Wei. Improving the topography performance of ion implantation resist [C]. Proc. SPIE., 10147: 101471E, 2017.

[3]   Libin Zhang, Yaobin Feng, Lisong Dong, Xiaojing Su, Zhengguo Tian, Chao Fang, Yayi Wei, Tianchun Ye. New alignment mark design structures for higher diffraction order wafer quality enhancement [C]. Proc. SPIE., 10145: 101452C, 2017.

[4]  Lijun Zhao, Ying Chen, Xiaojing Su, Yajuan Su, Yayi Wei, Tianchun Ye. Enhancing Manufacturability of Standard Cells by Using DTCO Methodology [C]. Proc. SPIE, 10148: 101481G, 2017.

[5]   Taian Fan, Lisong Dong ,Yayi Wei. Applications of RCWA on EUV mask optics [C]. Proc. SPIE. 10450: 104501X, 2017.

[6]  Libin Zhang, Lisong Dong, Xiaojing Su, Yansong Liu, Lijun Zhao, Yajuan Su, Yayi Wei, Tianchun Ye. An offline roughness evaluation software and its application in quantitative calculation of wiggling based on low frequency power spectrum density method [C]. 2017 China Semiconductor Technology International Conference, Shanghai, 2017, pp. 1-4.

[7] L. Yu, Y. Wei, Y. Su, X. Su, Z. Song, M. Quo, and Y. Duan. Effective solution for the 14nm node multiple patterning lithography [C]. China Semiconductor Technology International Conference. 2016.

[8] L. Dong, Z. Song, X. Su, and Y. Wei. A novel mask structure for measuring the defocus of scanner [C]. Proceedings of SPIE, 2016, 9778: 97782A.

[9] Y. Duan, X. Su, Y. Chen, Y. Su, Y. Wei, F. Shao, R. Zhang, and J. Lei. Design technology co-optimization for 14/10nm Metal1 double patterning layer [C]. Proceedings of SPIE, 2016, 9778: 9781: 97810X.

[10] Y. Duan, X. Su, Y. Chen, Y. Su, Y. Wei, F. Shao, R. Zhang, and J. Lei. Design technology co-optimization for N14 Metal1 layer [C]. China Semiconductor Technology International Conference. 2016.

[11] X. Su, Y. Su, Y. Liu, F. Chen, Z. Liu, W. Zhang, B. Li, T. Gao, and Y. Wei,. Thickness optimization for lithography process on silicon substrate [C]. Proceedings of SPIE, 2015, 9425:94251Z.

[12] Y. Liu, X. Su, L. Dong, Z. Song, M. Guo, Y. Su, and Y. Wei. Focus shift impacted by mask 3D and comparison between Att. PSM and OMOG [C]. Proceedings of SPIE, 2015, 9426: 94261H.

[13] Y. Wei, C. Zhao, and T. Ye. Analysis of mix-and-match litho approach for manufacturing 20nm logic-node products [C]. Proceedings of SPIEAlternative Lithographic Technologies VI, 2014, 9049: 90491Y.

[14] B. Jeon, S. lee; L. Subramany, C. Li, S. Pal, S. Meyers, S. Mehta, Y. Wei, and D. Cho. Evaluation of Lens Heating Effect in High Transmission NTD Processes at the 20nm Technology Node [C]. Proceedings of SPIEMetrology, Inspection, and Process Control for Microlithography XXVIII, 2014, 9050: 90501U.

[15] C. Lee, S. Mehta, W. Hwang, H. Tsai, M. Anderson, Y. Wei, M. Herrick, X. Hu, B. Jeon, and S. Pal. 20nm VIA BEOL patterning challenges [C]. Proceedings of SPIEAdvances in Resist Materials and Processing Technology XXX, 2013, 8682: 86820D.

[16] B. Jeon, S. Pal, S. Mehta, S. Lokesh, Y. Jiang, C. Li, M. Yelverton, and Y. Wei. High Order Wafer Alignment for 20nm node Logic Process [C]. Proceedings of SPIE27th Conference on Metrology, Inspection, and Process Control for Microlithography, 2013, 8681: 868110.

[17] M. Hu, G. Jellison, A. Debaillie, and Y. Wei. ChemicalSolution Deposition of Hafnia Films on SelfAssembled Molecular Monolayers: Part D  Precipitation Behavior in Bulk Solutions [C]. Ceramic Nanomaterials and Nanotechnologies IV, 2012.

[18] C. Lee, Y. Wei, M. Kelling, S. Law, M. Mobley, and K. Chai. Defects reduction at BEOL interconnect within 300mm manufacturing environment [C]. Proceedings of SPIEOptical Microlithography XXV, 2012, 8326: 83261S.

[19] Y. Wei, M. Glodde, H. Yusuff, M. Lawson, S. Y. Chang, K. S. Yoon, and M. Kelling. Performance of tri-layer process required for 22 nm and beyond [C]. Proceedings of SPIEAdvances in Resist Materials and Processing Technology XXVIII, 2011, 7972: 79722L.

[20] R. Zhang, A. Timko, J. Zook, Y. Wei, L. Pylneva, Y. Yi, C. Li, H. Wu, D. Rahman, D. Mckenzie, C. Anyadiegwu, P. Lu, M. Neisser, R. Dammel, R. Bradbury, and T. Lee. Reworkable Spin-on Trilayer Materials: Optimization of Rework Process and Solutions for Manufacturability [C]. Proceedings of SPIE, Advances in Resist Materials and Processing Technology XXVI, 2009, 7273: 72732O.

[21] Y. Wei, S. Brandl, and F. Goodwin. Formation mechanism of 193nm immersion defects and defect reduction strategies [C]. Proceedings of SPIE, Advances in Resist Materials and Processing Technology XXV, 2008, 6923: 69231Y.

[22] Y. Wei. Bubble and antibubble defects in 193i lithography [C]. Spienewsroom, 2008.

[23] Y. Wei. Extendability of 193nm immersion lithography [C]. Spienewsroom, 2008.

[24] Y. Wei, and D. Back. Mastering the resist-leaching and aqueous-contact-angle challenges [C]. Spienewsroom, 2007.

[25] Y. Wei, and D. Back. 193nm immersion lithography: Status and challenges [C]. Spienewsroom, 2007.

[26] Y. Wei, and D. Back. Immersion lithography: topcoat and resist processes [C]. Spienewsroom, 2007.

[27] Y. Wei, M. Bender, W. Domke, A. Laessig, M. Sebald, S. Trogisch, and D. Back. Performance of chemically amplified resists at half-pitch of 45 nm and below [C]. Proceedings of SPIE, Advances in Resist Materials and Processing Technology XXIV, 2007, 6519: 65190R.

[28] Y. Wei, K. Petrillo, S. Brandl, F. Goodwin, P. Benson, R. Housley, and U. Okoroanyanwu.  Selection and evaluation of developer-soluble topcoat for 193nm immersion lithography [C]. Proceedings of SPIE, Advances in Resist Materials and Processing XXIII, 2006, 6153: 615306.

[29] Y. Wei, N. Stepanenko, A. Laessig, et al. Evaluation of 193nm immersion resist without topcoat [C]. Proceedings of SPIE, Advances in Resist Materials and Processing XXIII, 2006, 6153: 615305.

[30] S. Brandl, R. Watso, B. Pierson, S. Holmes, Y. Wei, K. Petfillo, K. Cummings, and F. Goodwin. Investigation of immersion related defects using pre-and post-wet experiments [C]. Proceedings of SPIE, Optical Microlithography XIX, 2006, 6154:61540T.

[31] Y. Wei, N. Stepanenko, M. Sebald, C. Hohle, F. Houlihan, and R. Sakamuri. Study of 157 nm resists with full field exposure tools[C]. Proceedings of SPIE, Advances in Resist Materials and Processing XXII, 2005, 5753: 572-583.

[32] S. Brandl, R. Housley, P. Benson, F. Goodwin, Y. Wei, C. Robinson, and K. Cummings. Immersion Defect Studies [C]. SEMATECH 2nd 193nm Immersion Symp. 2005.

[33] J. Weis, Y. Wei, K. Klitzin, and K. Eberl. Resolving Edge Strips in the Quantum Hall Regime[C]. APS March Meeting. APS March Meeting Abstracts, 1998.

[34] Y. Wei, G. Zheng, and Y. He. Interfacial deep levels in nano-crystalline silicon films [C]. Proceedings of SPIE, Second International Conference on Thin Film Physics and Applications, 1994, 2364:373-375.

[35] G. Zheng, Y. Wei, S. Guo, Y. Chen, and S. Mao. Magnetoresistance oscillation of Si delta-doping GaAs multiple quantum well [C]. Thin Film Physics and Applications: Second International Conference. International Society for Optics and Photonics, 1994:65-68.


Students

已指导学生

赵利俊  博士研究生  080903-微电子学与固体电子学  

于丽贤  硕士研究生  085209-集成电路工程  

盖天洋  硕士研究生  085209-集成电路工程  

陈颖  博士研究生  080903-微电子学与固体电子学  

邹雄峰  硕士研究生  085209-集成电路工程  

徐步青  硕士研究生  085209-集成电路工程  

吴元芳  硕士研究生  085209-集成电路工程  

马玲  硕士研究生  085209-集成电路工程  

林佳欣  博士研究生  080903-微电子学与固体电子学  

苏晓菁  博士研究生  080903-微电子学与固体电子学  

徐利云  硕士研究生  085208-电子与通信工程  

明瑞锋  硕士研究生  085208-电子与通信工程  

马恩泽  硕士研究生  085208-电子与通信工程  

刘琦  硕士研究生  085208-电子与通信工程  

李昊乘  硕士研究生  085208-电子与通信工程  

赵荣波  博士研究生  080903-微电子学与固体电子学  

张双  硕士研究生  085209-集成电路工程  

盖天洋  博士研究生  080903-微电子学与固体电子学  

景旭宇  硕士研究生  085209-集成电路工程  

马玲  博士研究生  080903-微电子学与固体电子学  

邵花  博士研究生  080903-微电子学与固体电子学  

现指导学生

高澎铮  博士研究生  080903-微电子学与固体电子学  

刘伟晨  博士研究生  080903-微电子学与固体电子学  

吴睿轩  博士研究生  080903-微电子学与固体电子学  

杨大志  博士研究生  080903-微电子学与固体电子学  

丁虎文  博士研究生  080903-微电子学与固体电子学  

宋桢  硕士研究生  085400-电子信息  

严琦  硕士研究生  085400-电子信息  

孔鹏杰  博士研究生  080903-微电子学与固体电子学  

曹清晨  博士研究生  080300-光学工程  

徐剑  博士研究生  080903-微电子学与固体电子学  

邓森  博士研究生  080903-微电子学与固体电子学  

沈浩  硕士研究生  080300-光学工程  

朱奕华  硕士研究生  080903-微电子学与固体电子学  

苑朋朋  博士研究生  080903-微电子学与固体电子学  

芮定海  博士研究生  080903-微电子学与固体电子学  

赵艺涵  硕士研究生  080903-微电子学与固体电子学  

郝芸芸  博士研究生  080903-微电子学与固体电子学  

田正国  博士研究生  080903-微电子学与固体电子学  

杨尚  博士研究生  080903-微电子学与固体电子学  

赵伟  硕士研究生  085400-电子信息