基本信息
程新红  女  博导  中国科学院上海微系统与信息技术研究所
email: xh_cheng@mail.sim.ac.cn
address: 上海市长宁路865号
postalCode:

招生信息

   
招生专业
080903-微电子学与固体电子学
085400-电子信息
招生方向
功率器件
SOI BCD
集成电路

工作经历

   
工作简历
2011-01~现在, 中国科学院上海微系统与信息技术研究所, 研究员

专利与奖励

   
奖励信息
(1) 低功耗无线无源物联网关键技术研究与应用, 二等奖, 省级, 2020
专利成果
( 1 ) 一种芯片基准电压温漂系数的晶圆级修调方法, 2022, 第 1 作者, 专利号: CN114141646A

( 2 ) 去饱和短路保护电路、功率器件短路保护电路及测试电路, 2022, 第 1 作者, 专利号: CN113938118A

( 3 ) 一种硅基氮化镓微波器件及制备方法, 2021, 第 1 作者, 专利号: CN111739799B

( 4 ) 一种宽输出范围的高能效双向DC/DC变换器, 2021, 第 1 作者, 专利号: CN113422517A

( 5 ) 一种用于SOI基GaN晶圆及其制备方法, 2021, 第 2 作者, 专利号: CN113257909A

( 6 ) 一种SOI基p-GaN增强型GaN功率开关器件的制备方法, 2021, 第 3 作者, 专利号: CN111739801B

( 7 ) 一种SOI基凹栅增强型GaN功率开关器件的制备方法, 2021, 第 3 作者, 专利号: CN111739800B

( 8 ) 一种针对SiC MOSFET消隐时间可调的抗干扰短路保护电路, 2021, 第 1 作者, 专利号: CN113067565A

( 9 ) 一种基于高k栅介质与低温欧姆接触工艺的SiC MOSFET的制备, 2020, 第 1 作者, 专利号: CN111739937A

( 10 ) 一种硅基宽光谱光电探测器的制备方法, 2020, 第 3 作者, 专利号: CN111739963A

( 11 ) 一种用于电流舵DAC的功耗降低电路及方法, 2020, 第 1 作者, 专利号: CN111416621A

( 12 ) 一种采样保持电路及电器, 2020, 第 1 作者, 专利号: CN111162789A

( 13 ) 一种基于SOI衬底的电容隔离结构及其制备方法, 2019, 第 2 作者, 专利号: CN110350026A

( 14 ) 基于AlGaN/p-GaN沟道的增强型纵向功率器件及制作方法, 2019, 第 2 作者, 专利号: CN110277445A

( 15 ) 一种基准电压温度系数修调方法、装置及终端, 2019, 第 2 作者, 专利号: CN110262614A

( 16 ) 基于功率器件寄生电感的电流检测系统及电流检测方法, 2019, 第 3 作者, 专利号: CN109917179A

( 17 ) 一种IGBT过流保护电路及方法, 2018, 第 4 作者, 专利号: CN108666981A

( 18 ) 基于AlGaN/p-GaN沟道的增强型纵向功率器件, 2018, 第 2 作者, 专利号: CN207938616U

( 19 ) 一种IGBT短路过流检测电路, 2018, 第 3 作者, 专利号: CN108508342A

( 20 ) 一种LLC谐振半桥转换器, 2018, 第 2 作者, 专利号: CN108023486A

( 21 ) 沟槽型MOSFET功率器件及其制作方法, 2018, 第 1 作者, 专利号: CN107564964A

( 22 ) SiC器件栅介质层及SiC器件结构的制备方法, 2017, 第 1 作者, 专利号: CN107527803A

( 23 ) 基于界面钝化层的MOS电容器及其制备方法, 2017, 第 1 作者, 专利号: CN107507829A

( 24 ) 一种MOS功率器件及其制备方法, 2017, 第 1 作者, 专利号: CN107393814A

( 25 ) 一种基于SOI‑量子点异质结的红外探测器制备方法, 2017, 第 2 作者, 专利号: CN107359221A

( 26 ) 一种利用金属/氧化物双层掩膜结构刻蚀SiC的方法, 2017, 第 1 作者, 专利号: CN107275196A

( 27 ) SiC-LDMOS功率表器件及其制备方法, 2016, 第 1 作者, 专利号: CN106158933A

( 28 ) 一种高压模拟集成开关电路, 2016, 第 2 作者, 专利号: CN105827224A

( 29 ) 一种基于SOI工艺的电池管理芯片电路, 2016, 第 1 作者, 专利号: CN105680107A

( 30 ) 基于氟化石墨烯钝化的AlGaN/GaN HEMT器件及制作方法, 2016, 第 1 作者, 专利号: CN105304689A

( 31 ) 一种基于薄膜半导体-石墨烯异质结的光电探测器制备方法, 2015, 第 1 作者, 专利号: CN105206689A

( 32 ) 一种水基ALD诱使的可逆N型石墨烯制备方法, 2015, 第 1 作者, 专利号: CN105129788A

( 33 ) 基于Metal/Insulator/AlGaN/GaN叠层MIS结构的负微分电阻器件及制备方法, 2015, 第 1 作者, 专利号: CN104599975A

( 34 ) 带有修调的高阶曲率补偿基准电压源, 2015, 第 2 作者, 专利号: CN104298294A

( 35 ) 一种基于ALD的石墨烯基热电子晶体管及其制备方法, 2014, 第 1 作者, 专利号: CN103985741A

( 36 ) 一种基于硅基三维纳米阵列的全环栅CMOS结构和制备方法, 2014, 第 4 作者, 专利号: CN103715195A

( 37 ) 一种全环栅CMOS场效应晶体管和制备方法, 2014, 第 4 作者, 专利号: CN103700660A

( 38 ) 一种多栅SOI-LDMOS器件结构, 2014, 第 5 作者, 专利号: CN103594517A

( 39 ) 一种SOI RESURF超结器件结构及其制作方法, 2013, 第 1 作者, 专利号: CN103021864A

( 40 ) 一种SJ-IGBT器件结构及其制作方法, 2013, 第 1 作者, 专利号: CN102969244A

( 41 ) 在石墨烯表面制备栅介质的方法, 2013, 第 1 作者, 专利号: CN102956467A

( 42 ) 在InP衬底上制备高K栅介质薄膜和MIS电容的方法, 2012, 第 1 作者, 专利号: CN102760657A

( 43 ) 一种在GaAs衬底上制备Hf基高K栅介质薄膜的方法, 2012, 第 1 作者, 专利号: CN102664147A

( 44 ) 一种内嵌多N岛P沟道超结器件及其制备方法, 2012, 第 1 作者, 专利号: CN102623345A

( 45 ) 一种内嵌多P岛N沟道超结器件及其制备方法, 2012, 第 1 作者, 专利号: CN102593007A

( 46 ) 一种高k介质薄膜的制备方法, 2012, 第 1 作者, 专利号: CN102592974A

( 47 ) 一种MIS电容的制作方法, 2012, 第 1 作者, 专利号: CN102569070A

( 48 ) 基于SOI材料的MOS电容器及其制作方法, 2011, 第 1 作者, 专利号: CN102254821A

( 49 ) 一种一维尺度受限的石墨烯纳米带的制备方法, 2011, 第 1 作者, 专利号: CN102254795A

( 50 ) 沉积栅介质的方法、制备MIS电容的方法及MIS电容, 2011, 第 1 作者, 专利号: CN102226270A

( 51 ) 一种基于SOI的ESD保护器件及其制作方法, 2011, 第 1 作者, 专利号: CN102201404A

( 52 ) 一种基于图形化的SOI-ESD保护器件及其制作方法, 2011, 第 1 作者, 专利号: CN102201405A

( 53 ) 石墨烯基场效应晶体管的制备方法, 2011, 第 1 作者, 专利号: CN102184849A

( 54 ) 一种具有缓冲层的SOI超结LDMOS器件, 2011, 第 1 作者, 专利号: CN102130176A

( 55 ) SOI超结LDMOS器件的LDD、LDS及缓冲层一体化制作方法, 2011, 第 1 作者, 专利号: CN102130012A

( 56 ) 一种具有缓冲层的SOI超结LDMOS器件制作方法, 2011, 第 1 作者, 专利号: CN102130013A

( 57 ) 一种SOI电路中的ESD保护结构及制作方法, 2011, 第 3 作者, 专利号: CN102082144A

( 58 ) 一种基于SOI衬底的高介电常数材料栅结构及其制备方法, 2011, 第 1 作者, 专利号: CN101950758A

( 59 ) 基于SOI衬底的高介电常数材料栅结构及其制备方法, 2011, 第 1 作者, 专利号: CN101950757A

( 60 ) 具有沟槽结构的SOI高压功率器件芯片的制备方法, 2011, 第 1 作者, 专利号: CN101944505A

( 61 ) 一种改善高阻SOI衬底上高介电常数栅介质性能的方法, 2010, 第 1 作者, 专利号: CN101924030A

( 62 ) 一种具有线性缓冲层的SOI超结LDMOS制作方法, 2010, 第 1 作者, 专利号: CN101916730A

( 63 ) 具有多层超结结构的SOI LDMOS器件制作方法, 2010, 第 1 作者, 专利号: CN101916729A

( 64 ) 一种具有多层超结结构的LDMOS器件, 2010, 第 1 作者, 专利号: CN101916780A

( 65 ) 可完全消除衬底辅助耗尽效应的SOI超结LDMOS结构的制作工艺, 2010, 第 1 作者, 专利号: CN101916728A

( 66 ) 一种SOI埋氧层下的导电层及其制作工艺, 2010, 第 1 作者, 专利号: CN101916761A

( 67 ) 可完全消除衬底辅助耗尽效应的SOI超结LDMOS结构, 2010, 第 1 作者, 专利号: CN101916779A

( 68 ) SOI高压功率器件的制备方法, 2010, 第 1 作者, 专利号: CN101916727A

( 69 ) 一种基于垂直栅SOI CMOS器件的超结结构及其制作方法, 2010, 第 1 作者, 专利号: CN101789435A

( 70 ) 一种具有垂直栅结构的SOI CMOS器件, 2010, 第 1 作者, 专利号: CN101777564A

( 71 ) 一种可调节垂直栅SOI CMOS器件沟道电流的叉指型结构, 2010, 第 1 作者, 专利号: CN101764136A

( 72 ) 一种具有垂直栅结构的SOI CMOS器件的制作方法, 2010, 第 1 作者, 专利号: CN101764102A

( 73 ) 局部绝缘体上的硅制作功率器件的结构及实现方法, 2005, 第 4 作者, 专利号: CN1560925A

( 74 ) 一种热丝化学气相沉积金刚石的设备, 1998, 第 5 作者, 专利号: CN2283067Y

出版信息

   
发表论文
(1) A Design Method of Partially Interleaved Winding Structure with Low Leakage Inductance for Planar Transformer Application, IEEE Transactions on Power Electronics, 2023, 通讯作者
(2) A dV/dt noise canceling circuit of capacitive-isolated gate drivers, IEICE ELECTRONICS EXPRESS, 2022, 通讯作者
(3) interfacial modifcation mechanism of ALD SiO2/4H SiC heterojunction by synergistic nitrogen–oxygen atmosphere RTA, Applied Physics A, 2022, 第 1 作者
(4) Silicon: quantum dot photovoltage triodes, NATURE COMMUNICATIONS, 2021, 第 4 作者
(5) A Self-Adaptive Measurement System for IGBT Collector Current Using Package Parasitics, IEEE TRANSACTIONS ON INDUSTRIAL ELECTRONICS, 2021, 通讯作者
(6) Ambient stability improvement of CQD photodetectors by low-temperature deposited graphene encapsulation, MATERIALS LETTERS, 2021, 第 4 作者
(7) Graphene-induced positive shift of the flat band voltage in recessed gate AlGaN/GaN structures, APPLIED PHYSICS LETTERS, 2021, 通讯作者
(8) Low-temperature deposition of multilayer graphene with continuous morphology and few defects, JOURNAL OF MATERIALS SCIENCE-MATERIALS IN ELECTRONICS, 2020, 第 3 作者
(9) High quality silicon: Colloidal quantum dot heterojunction based infrared photodetector, APPLIED PHYSICS LETTERS, 2020, 第 7 作者
(10) 带有修调的分段曲率补偿带隙基准电路, Piecewise curvature compensated bandgap reference circuit with trimming procedure, 哈尔滨工业大学学报, 2020, 第 6 作者
(11) Optimized JFET regions of 4H-SiC VDMOS with reduced on-resistance and improved gate oxide reliability, JOURNAL OF PHYSICS D-APPLIED PHYSICS, 2020, 第 3 作者
(12) Impact of the transition region between active area and edge termination on electrical performance of SiC MOSFET, SOLID-STATE ELECTRONICS, 2020, 通讯作者
(13) A method to improve the specific contact resistance of 4H-SiC Ohmic contact through increasing the ratio of sp(2)-carbon, APPLIED PHYSICS LETTERS, 2020, 通讯作者
(14) PbS colloidal quantum dots patterning technique with low vertical leakage current for the photodetection applications, JOURNAL OF MATERIALS SCIENCE-MATERIALS IN ELECTRONICS, 2020, 第 3 作者
(15) 一种低掉电率的采样保持电路, A Sample-and-Hold Circuit with Low Droop Rate, 半导体技术, 2020, 第 3 作者
(16) A self-adaptive blanking time circuit for fast IGBT de-saturation short-circuit protection, IEICE ELECTRONICS EXPRESS, 2020, 通讯作者
(17) Plasma-enhanced atomic layer deposition of SiO2 for channel isolation of colloidal quantum dots phototransistors, SUPERLATTICES AND MICROSTRUCTURES, 2019, 第 3 作者
(18) Corrigendum to "Effects of polycrystalline AlN film on the dynamic performance of AlGaN/GaN high electron mobility transistors" Mat. Des. 148(2018) 1–7, MATERIALS & DESIGN, 2019, 第 2 作者
(19) Influence of Poly-AlN Passivation on the Performance Improvement of 3-MeV Proton-Irradiated AlGaN/GaN MIS-HEMTs, IEEE TRANSACTIONS ON NUCLEAR SCIENCE, 2019, 通讯作者
(20) Indirect IGBT Over-Current Detection Technique Via Gate Voltage Monitoring and Analysis, IEEE TRANSACTIONS ON POWER ELECTRONICS, 2019, 第 4 作者
(21) Effects of polycrystalline AlN film on the dynamic performance of AlGaN/GaN high electron mobility transistors (vol 148, pg 1, 2018), MATERIALS & DESIGN, 2019, 通讯作者
(22) 高阻硅基GaN晶片上MIS栅结构GaN HEMT射频器件研制, Research of MIS Gate GaN HEMT RF Devices on GaN Epitaxially-grown on High Resistance Si Substrate, 微波学报, 2019, 第 3 作者
(23) Reliability Improvement of GaN Devices on Free-Standing GaN Substrates (vol 65, pg 3379, 2018), IEEE TRANSACTIONS ON ELECTRON DEVICES, 2019, 通讯作者
(24) Band alignment regulation of HfO2/SiC heterojunctions induced by PEALD with in situ NH3-plasma passivation, PHYSICS LETTERS A, 2019, 第 3 作者
(25) 带有固定延迟时间的IGBT去饱和过流检测电路, IGBT DESAT Overcurrent Detection Circuit with Fixed Delay Time, 半导体技术, 2019, 第 6 作者
(26) 基于平面变压器的反激式电源设计, Design of Flyback Power Supply Based on Planar Transformer, 电力电子技术, 2019, 第 4 作者
(27) Decreasing graphene synthesis temperature by catalytic metal engineering and thermal processing, RSC ADVANCES, 2018, 通讯作者
(28) Ambipolar Graphene-Quantum Dot Phototransistors with CMOS Compatibility, ADVANCED OPTICAL MATERIALS, 2018, 第 5 作者
(29) An improved noise immune level-shifter via IGBT gate-emitter voltage detection, IEICE ELECTRONICS EXPRESS, 2018, 第 6 作者
(30) Effects of polycrystalline AlN film on the dynamic performance of AlGaN/GaN high electron mobility transistors, MATERIALS & DESIGN, 2018, 通讯作者
(31) Reliability Improvement of GaN Devices on Free-Standing GaN Substrates, IEEE TRANSACTIONS ON ELECTRON DEVICES, 2018, 通讯作者
(32) A high voltage multiplexer with rail to rail output swing for battery management system applications (vol 14, 20161144, 2017), IEICE ELECTRONICS EXPRESS, 2018, 第 6 作者
(33) Influence of LaSiOx passivation interlayer on band alignment between PEALD-Al2O3 and 4H-SiC determined by X-ray photoelectron spectroscopy, APPLIED SURFACE SCIENCE, 2018, 通讯作者
(34) The GaN trench gate MOSFET with floating islands: High breakdown voltage and improved BFOM, SUPERLATTICES AND MICROSTRUCTURES, 2018, 通讯作者
(35) 基于IGBT栅极米勒平台的新型电流过载检测技术, IGBT over-load detection technique via Miller plateau voltage monitoring, 仪器仪表学报, 2018, 第 4 作者
(36) p-GaN在不同掩膜和刻蚀气体中的ICP刻蚀, Inductively Coupled Plasma Etching of p-GaN Using Different Masks and Etching Gases, 半导体技术, 2018, 第 2 作者
(37) An improved noise immune level-shifter via IGBT gate-emitter voltage detection (vol 15, 20180293, 2018), IEICE ELECTRONICS EXPRESS, 2018, 第 6 作者
(38) Enhanced interfacial and electrical characteristics of 4H-SiC MOS capacitor with lanthanum silicate passivation interlayer, APPLIED SURFACE SCIENCE, 2017, 第 2 作者
(39) 基于GaNHEMT同步整流Buck变换器研究, Research on Synchronous Buck Converter Based on GaN HEMT, 电力电子技术, 2017, 第 4 作者
(40) Performance Improvement and Current Collapse Suppression of Al2O3/AlGaN/GaN HEMTs Achieved by Fluorinated Graphene Passivation, IEEE ELECTRON DEVICE LETTERS, 2017, 通讯作者
(41) 退火温度对Au / Ti / 4H-SiC肖特基接触特性的影响, Effects of Annealing Temperature on the Characteristics of Au / Ti / 4H-SiC Schottky Contact, 半导体技术, 2017, 第 2 作者
(42) A high voltage multiplexer with rail to rail output swing for battery management system applications, IEICE ELECTRONICS EXPRESS, 2017, 第 6 作者
(43) Interfacial chemistry and energy band alignment of TiAlO on 4H-SiC determined by X-ray photoelectron spectroscopy, APPLIED SURFACE SCIENCE, 2017, 通讯作者
(44) Morphology improvement of SiC trench by inductively coupled plasma etching using Ni/Al2O3 bilayer mask, MATERIALS SCIENCE IN SEMICONDUCTOR PROCESSING, 2017, 第 2 作者
(45) Interface engineering of an AlNO/AlGaN/GaN MIS diode induced by PEALD alternate insertion of AlN in Al2O3, RSC ADVANCES, 2017, 通讯作者
(46) 0.13 μm CMOS Stacked-FE T两级功率放大器设计, Design of 0.13 μm CMOS Stacked-FET Two-Stage Power Amplifier, 半导体技术, 2016, 第 2 作者
(47) Negative differential resistance in the I-V curves of Al2O3/AlGaN/GaN MIS structures, RSC ADVANCES, 2016, 通讯作者
(48) Semiconductor-like nanofilms assembled with AlN and TiN laminations for nearly ideal graphene-based heterojunction devices, JOURNAL OF MATERIALS CHEMISTRY C, 2016, 通讯作者
(49) 电池监测芯片中稳压模块的设计, Design of voltage regulator module in battery monitoring chip, 电子技术应用, 2016, 第 3 作者
(50) 一种快速转换的过温保护电路, A Fast-Transition Over-Thermal Protection Circuit, 半导体技术, 2016, 第 2 作者
(51) Controlled direct growth of Al2O3-doped HfO2 films on graphene by H2O-based atomic layer deposition, PHYSICAL CHEMISTRY CHEMICAL PHYSICS, 2015, 通讯作者
(52) Passivation effect of graphene on AlGaN/GaN Schottky diode, RSC ADVANCES, 2015, 通讯作者
(53) Direct growth of sb2te3 on graphene by atomic layer deposition, RSC ADVANCES, 2015, 通讯作者
(54) Effects of rapid thermal annealing on the properties of hfo2/la2o3 nanolaminate films deposited by plasma enhanced atomic layer deposition, JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A, 2015, 第 2 作者
(55) Low-temperature plasma-enhanced atomic layer deposition of HfO2/Al2O3 nanolaminate structure on Si, JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B, 2015, 第 2 作者
(56) A novel partial SOI EDMOS (>800 V) with a buried N-type layer on the double step buried oxide, SUPERLATTICES AND MICROSTRUCTURES, 2015, 通讯作者
(57) Reversible n-Type Doping of Graphene by H2O-Based Atomic-Layer Deposition and Its Doping Mechanism, JOURNAL OF PHYSICAL CHEMISTRY C, 2015, 通讯作者
(58) Al2O3-Gd2O3 double-films grown on graphene directly by H2O-assisted atomic layer deposition, RSC ADVANCES, 2014, 通讯作者
(59) Properties of HfLaO MOS capacitor deposited on SOI with plasma enhanced atomic layer deposition, JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A, 2014, 第 2 作者
(60) Direct growth of high-quality Al2O3 dielectric on graphene layers by low-temperature H2O-based ALD, JOURNAL OF PHYSICS D-APPLIED PHYSICS, 2014, 第 3 作者
(61) 可修调的高阶曲率补偿基准电压源, A High-Order Curvature-Compensated Bandgap Reference with Trimming Resistive Circuits, 微电子学, 2014, 第 2 作者
(62) Improved LDMOS performance with buried multi-finger gates, MICROELECTRONIC ENGINEERING, 2014, 第 2 作者
(63) Improvement of SOI Trench LDMOS Performance With Double Vertical Metal Field Plate, IEEE TRANSACTIONS ON ELECTRON DEVICES, 2014, 第 2 作者
(64) 水基ALD方法在石墨烯上生长Al2O3, ACS Appl. Mater. Interfaces, 2014, 通讯作者
(65) Multi-Gates SOI LDMOS for Improved on-state Performance, 2014 IEEE 26TH INTERNATIONAL SYMPOSIUM ON POWER SEMICONDUCTOR DEVICES & IC'S (ISPSD), 2014, 第 2 作者
(66) A novel partial-SOI LDMOSFET (>800 V) with n-type floating buried layer in substrate, MICROELECTRONICS RELIABILITY, 2014, 通讯作者
(67) Properties of HfAlO film deposited by plasma enhanced atomic layer deposition, NUCLEAR INSTRUMENTS & METHODS IN PHYSICS RESEARCH SECTION B-BEAM INTERACTIONS WITH MATERIALS AND ATOMS, 2013, 通讯作者
(68) PEALD HfO_2栅介质薄膜的界面优化及其特性表征, Interface Optimization and Characterization of PEALD HfO_2 Gate Dielectric Film, 半导体技术, 2013, 第 2 作者
(69) Total-Dose Radiation Response of HfLaO Films Prepared by Plasma Enhanced Atomic Layer Deposition, IEEE TRANSACTIONS ON NUCLEAR SCIENCE, 2013, 通讯作者
(70) p-GaN 栅结构GaN HEMT 的场板结构研究, Study on Structure of Gate Field Plate for GaN HEMT with p-GaN Gate, 半导体技术, 2013, 第 3 作者
(71) ON-Resistance Degradation Induced by Hot-Carrier Injection in SOI SJ-LDMOS, IEEE TRANSACTIONS ON ELECTRON DEVICES, 2013, 第 2 作者
(72) Characterization of HfO2/La2O3 layered stacking deposited on Si substrate, JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B, 2013, 第 2 作者
(73) 采用水基原子层沉积工艺在石墨烯上沉积A12O3介质薄膜研究, Studies on H2O-based Atomic Layer Deposition of Al2O3 Dielectric on Pristine Graphene, 无机材料学报, 2012, 第 3 作者
(74) Properties of a Ni-FUSI gate formed by the EBV method and one-step RTA, Properties of a Ni-FUSI gate formed by the EBV method and one-step RTA, JOURNAL OF SEMICONDUCTORS, 2012, 第 6 作者
(75) 薄膜SOl上大于600 V LDMOS器件的研制, Study of LDMOS Device over 600 V on Thin Film SOI, 半导体技术, 2012, 第 4 作者
(76) Studies on H2O-based Atomic Layer Deposition of Al2O3 Dielectric on Pristine Graphene, JOURNAL OF INORGANIC MATERIALS, 2012, 第 3 作者
(77) MEMS谐振器的并联电容噪声抑制, Noise Depression for the Parallel Capacitive of MEMS Resonators, 微纳电子技术, 2012, 第 3 作者
(78) 薄膜SOI上大于600 V LDMOS器件的研制, 半导体技术, 2012, 第 4 作者
(79) Plasma enhanced atomic layer deposition of HfO2 with in situ plasma treatment, MICROELECTRONIC ENGINEERING, 2012, 第 2 作者
(80) Realization of 850 V breakdown voltage LDMOS on Simbond SOI, MICROELECTRONIC ENGINEERING, 2012, 第 2 作者
(81) 基于SCR的SOI ESD保护器件研究, SCR based ESD protection in SOI technologies, 功能材料与器件学报, 2012, 第 6 作者
(82) 采用水基原子层沉积工艺在石墨烯上沉积Al_2O_3介质薄膜研究, 无机材料学报, 2012, 第 3 作者
(83) Al_2O_3对应变SiGe上HfO_2薄膜的热稳定性和电学可靠性的影响(英文), Effects of Al_2O_3 on Thermal Stability and Electrical Reliability of HfO_2 Film on Strained SiGe, 稀有金属材料与工程, 2011, 第 3 作者
(84) SiGe上NbAlO栅介质薄膜微结构和电学性能分析, MicroStructure and Electrical Performance of NbAlO Gate Dielectric Film on SiGe, 功能材料与器件学报, 2011, 第 2 作者
(85) Characterization of NbAlO dielectric film deposited on InP, JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B, 2011, 通讯作者
(86) Interfacial properties of HfO2 dielectric film on Ge substrate, JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B, 2011, 通讯作者
(87) Effects of Al 2O 3 on Thermal Stability and Electrical Reliability of HfO 2 Film on Strained SiGe, RARE METAL MATERIALS AND ENGINEERING, 2011, 第 3 作者
(88) Effects of Al2O3 on Thermal Stability and Electrical Reliability of HfO2 Film on Strained SiGeOriginal, XIYOU JINSHU CAILIAO YU GONGCHENG/RARE METAL MATERIALS AND ENGINEERING., 2011, 第 3 作者
(89) An analytical model for coplanar waveguide on silicon-on-insulator substrate with conformal mapping technique, CHINESE PHYSICS B, 2011, 通讯作者
(90) 反转胶lift—Off工艺制备堆栈电感, Fabrication of stacked inductor by inversion photoresist lift - off process, 功能材料与器件学报, 2010, 第 2 作者
(91) Inp 衬底上Al2O3/NbAlO/Al2O3 栅介质生长, APPLIEDPHYSICSLETTERS, 2010, 第 1 作者
(92) Forming-free colossal resistive switching effect in rare-earth-oxide Gd2O3 films for memristor applications, JOURNAL OF APPLIED PHYSICS, 2009, 第 8 作者
(93) Characterization of gadolinium oxide film by pulse laser deposition, APPLIED SURFACE SCIENCE, 2009, 通讯作者
(94) 一种新型混合晶向积累型圆柱体共包围栅互补金属氧化物场效应晶体管, A novel accumulation mode complementary GAAC FinFETs inverter with hybrid orientation SOl substrate, 科学通报, 2009, 第 9 作者
(95) Editorial for the IEEE TRANSACTIONS ON POWER ELECTRONICS, January 2009, IEEE TRANSACTIONS ON POWER ELECTRONICS, 2009, 
(96) The properties of high-k gate dielectric films deposited on HRSOI, MICROELECTRONIC ENGINEERING, 2009, 通讯作者
(97) Ti盖帽层保护退火的HfAl2O5栅介质特性研究, Applied Physics Letters, 2007, 第 1 作者
(98) Effects of nitrogen element on total-dose irradiation response of high-k Hf-based dielectric films, HE JISHU/NUCLEAR TECHNIQUES, V 30, N 8, AUGUST, 2007, 2007, 第 2 作者
(99) Preparation of high-quality Hf-aluminate films by EB-PVD, RARE METAL MATERIALS AND ENGINEERING, 2006, 通讯作者
(100) 高性能HfAlO介质薄膜的制备, Preparation of High-Quality Hf-Aluminate Films by EB-PVD, 稀有金属材料与工程, 2006, 第 1 作者
(101) 适合基站放大器应用的图形化SOILDMOSFET的设计与分析, 温州师范学院学报(自然科学版), 2006, 第 1 作者
(102) Si0.8Ge0.2 衬底上EBV方法制备的HfxSiyO薄膜特性研究, Applied Physics Letters, 2006, 第 1 作者
(103) HfC涂覆碳纳米管增强场发射性能研究, Improved Field Emission Properties of HfC-Coated Carbon Nanotubes, 真空电子技术, 2006, 第 5 作者
(104) 高性能图形化SOI功率器件的研制, Fabrication of high performance patterned SO1 power device, 功能材料与器件学报, 2005, 第 1 作者
(105) 新型图形化 SOI LDMOS结构的性能分析(英文), 半导体学报, 2004, 第 1 作者
(106) 新型图形化SOI LDMOS结构的性能分析, 半导体学报, 2004, 第 1 作者

科研活动

   
科研项目
( 1 ) Ⅲ-Ⅴ族半导体衬底上铪基高k栅介质界面特性研究, 负责人, 国家任务, 2012-01--2015-12
( 2 ) 预先研究项目(61501050301D), 负责人, 国家任务, 2011-01--2015-12
( 3 ) 8 位MCU、CAN、LIN 设计开发(2012ZX02503003), 负责人, 国家任务, 2012-01--2016-07
( 4 ) 新能源汽车用SiC功率器件关键技术研发, 负责人, 地方任务, 2015-07--2017-06
( 5 ) 车用IGBT栅驱动芯片设计, 参与, 国家任务, 2016-01--2020-12
( 6 ) 高纯SiC衬底制备与离子束剥离研究, 参与, 地方任务, 2017-07--2020-06
( 7 ) SiC MOSFET 栅极驱动芯片研发, 负责人, 地方任务, 2018-07--2020-06
( 8 ) 高真空快速自匹配PEALD设备研制, 负责人, 中国科学院计划, 2020-01--2021-12
( 9 ) 4-6英寸SiC晶圆上SiC MOSFETs 制造技术开发, 负责人, 中国科学院计划, 2020-01--2021-12
( 10 ) 同质外延GaN基纵向功率二极管, 负责人, 国家任务, 2022-11--2025-10
( 11 ) 1200V SiC MOSFET及全SiC功率模块设计和测试, 负责人, 地方任务, 2020-10--2024-09
( 12 ) 基于GaN功率技术的电源转换电路设计及应用, 参与, 地方任务, 2020-10--2023-09
( 13 ) 高k栅介质SiC MOSFET制造及可靠性研究, 负责人, 中国科学院计划, 2020-01--2022-12