基本信息
罗军  男  博导  中国科学院微电子研究所
电子邮件: luojun@ime.ac.cn
通信地址: 北京市朝阳区北土城西路3号中科院微电子所 集成电路先导工艺研发中心
邮政编码: 100029

研究领域

集成电路先导工艺技术
CMOS器件与工艺;半导体器件与工艺

招生信息

材料、化学以及电子工程相关专业学生

招生专业
080903-微电子学与固体电子学
080901-物理电子学
招生方向
集成电路先导工艺技术
CMOS器件与工艺;半导体器件与工艺

教育背景

2006-09--2010-06   瑞典皇家工学院(KTH)   工学博士
2005-09--2006-09   复旦大学   博士在读
2002-09--2005-07   厦门大学   工学硕士
1999-09--2002-07   华中科技大学   管理学学士
1998-09--2002-07   中国地质大学(武汉)   工学学士
学历
研究生

学位

工学博士

工作经历

   
工作简历
2017-05~现在, 中国科学院微电子研究所, 研究员
2010-08~2017-04,中科院微电子所, 助理研究员/副研究员
社会兼职
2019-07-01-今,Wiley series on Materials for Electronics and Photonics, 编辑
2016-06-29-2019-04-28,Journal of Materials Science: Materials in Electronics, 副主编

教授课程

集成电路制造工艺与研究方法
先进集成电路制造品质控制:理论与实务
半导体工艺与制造技术
半导体工艺与制造技术二班
先进半导体器件物理与工艺技术
半导体制造技术

专利与奖励

   
奖励信息
(1) 魏桥国科校长奖教金, 特等奖, 院级, 2022
(2) 中国电子信息科技创新团队, 一等奖, 部委级, 2019
(3) 中国科学院“朱李月华优秀教师奖”, 院级, 2017
(4) 中国专利优秀奖, 部委级, 2017
专利成果
[1] 高建峰, 李俊杰, 周娜, 刘卫兵, 杨涛, 李俊峰, 罗军. 一种半导体器件及其制备方法. CN: CN116722029A, 2023-09-08.
[2] 朱慧珑, 陈卓, 刘金彪, 李俊峰, 罗军. 半导体器件制造方法. CN: CN116721921A, 2023-09-08.
[3] 罗军, 毛淑娟, 许静. 半导体器件与其制作方法. CN: CN116705837A, 2023-09-05.
[4] 杨美音, 罗军, 李彦如. MRAM存储单元及其写入方法、读取方法和制备方法. CN: CN116669526A, 2023-08-29.
[5] 许静, 罗军, 苏雪寅, 骆堃. 一种半导体器件及其制作方法、电子设备. CN: CN116646378A, 2023-08-25.
[6] 许静, 苏雪寅, 罗军. 一种亚阈值区漏级电流的确定方法、装置及存储介质. CN: CN116609701A, 2023-08-18.
[7] 张丹, 罗军, 许静, 叶甜春. 金属互连结构、半导体器件及提高扩散阻挡层性能的方法. CN: CN112652607B, 2023-08-18.
[8] 李永亮, 刘昊炎, 罗军, 王文武. 一种半导体器件及其制造方法. CN: CN116598296A, 2023-08-15.
[9] 朱慧珑, 陈卓, 刘金彪, 李俊峰, 罗军. FinFET及其制造方法及包括FinFET的电子设备. CN: CN116598360A, 2023-08-15.
[10] 杨美音, 罗军, 崔岩, 许静. 一种磁性隧道结及其制造方法、存储单元. CN: CN112563411B, 2023-08-08.
[11] 崔岩, 罗军, 杨美音, 许静. 磁隧道结的形成方法及磁阻式随机存储器. CN: CN110061127B, 2023-08-08.
[12] 罗军, 陈博涵, 许静. 一种铁电栅极叠层和铁电场效应晶体管及其制备方法. CN: CN116544270A, 2023-08-04.
[13] 罗军, 李海荣, 刘晨星, 丰蜜, 李帅, 唐健博, 李俊峰. 一种SiC MOSFET器件. CN: CN116487440A, 2023-07-25.
[14] 李永亮, 刘昊炎, 罗军, 王文武. 一种环栅晶体管及其制造方法. CN: CN116487439A, 2023-07-25.
[15] 李永亮, 刘昊炎, 罗军, 王文武. 一种环栅晶体管及其制造方法. CN: CN116469938A, 2023-07-21.
[16] 杨美音, 舒敬坤, 罗军, 李彦如. SOT-MRAM存储单元及其制备方法. CN: CN116456807A, 2023-07-18.
[17] 李永亮, 刘昊炎, 贾晓锋, 罗军, 王文武. 一种半导体器件及其制造方法. CN: CN116454136A, 2023-07-18.
[18] 周娜, 杨华彬, 毛海央, 李俊峰, 罗军. 一种温湿度监测器. CN: CN116429173A, 2023-07-14.
[19] 周娜, 李俊杰, 高建峰, 刘耀东, 李永亮, 罗军, 赵超, 杨涛, 李俊峰, 王文武. 一种金属纳米结构及其制作方法、电子器件、电子设备. CN: CN111415902B, 2023-07-14.
[20] 朱慧珑, 叶甜春, 罗军. 存储器件及其制造方法及包括存储器件的电子设备. CN: CN116419568A, 2023-07-11.
[21] 贺晓彬, 刘金彪, 李俊峰, 李亭亭, 李俊杰, 罗军. 涂胶方法和电子设备. CN: CN115945367A, 2023-04-11.
[22] 李相遇, 熊文娟, 蒋浩杰, 李亭亭, 罗军. 一种多晶硅的沉积方法及其应用. CN: CN111430226B, 2023-04-07.
[23] 崔岩, 罗军, 杨美音, 许静. 一种基于铁电/铁磁材料耦合的双模式电压调控MRAM存储单元及其调控方法、制备方法. CN: CN115884602A, 2023-03-31.
[24] 高建峰, 刘卫兵, 李俊杰, 周娜, 杨涛, 李俊峰, 罗军. 半导体器件金属互连结构及其形成方法. CN: CN115881627A, 2023-03-31.
[25] 李俊杰, 刘恩序, 周娜, 高建峰, 李俊峰, 李永亮, 罗军, 王文武. 集成电路设备和半导体器件的制备方法. CN: CN115881590A, 2023-03-31.
[26] 贺晓彬, 李亭亭, 杨涛, 唐波, 刘金彪, 李俊峰, 罗军. 电子束光刻方法、浅沟槽隔离及电极接触孔的形成方法. CN: CN115639730A, 2023-01-24.
[27] 李永亮, 赵飞, 殷华湘, 罗军, 王文武. 一种半导体器件及其制造方法. CN: CN115621320A, 2023-01-17.
[28] 毛淑娟, 罗军, 许静. Ge基NMOS晶体管及其制作方法. CN: CN111463133B, 2023-01-17.
[29] 高建峰, 李俊杰, 周娜, 贺晓彬, 杨涛, 李俊峰, 罗军. 一种互连结构的制作方法、互连结构及半导体器件. CN: CN115588648A, 2023-01-10.
[30] 贺晓彬, 杨涛, 李亭亭, 刘金彪, 李俊峰, 罗军. 新的叠层结构及其制备方法、图形转移方法、返工方法. CN: CN115547815A, 2022-12-30.
[31] 刘昊炎, 李永亮, 王晓磊, 罗军, 王文武. 一种半导体器件的制造方法. CN: CN115513142A, 2022-12-23.
[32] 贺晓彬, 杨涛, 李亭亭, 刘金彪, 唐波, 李俊峰, 罗军. 具有量测对准图形的晶圆. CN: CN115360173A, 2022-11-18.
[33] 许高博, 殷华湘, 罗军, 颜刚平, 田国良. 一种无电容动态随机存储器及其制备方法. CN: CN115360233A, 2022-11-18.
[34] 许高博, 殷华湘, 罗军, 颜刚平, 田国良. 一种动态随机存储器及其制备方法. CN: CN115332252A, 2022-11-11.
[35] 罗军, 毛淑娟, 许静. 肖特基势垒晶体管及其制备方法. CN: CN115188813A, 2022-10-14.
[36] 李永亮, 赵飞, 程晓红, 张青竹, 殷华湘, 罗军, 王文武. 一种半导体器件及其制造方法. CN: CN115172447A, 2022-10-11.
[37] 李纯, 刘昊炎, 李永亮, 殷华湘, 罗军, 王文武. 一种半导体器件的制造方法. CN: CN115116956A, 2022-09-27.
[38] 刘昊炎, 李永亮, 殷华湘, 罗军, 王文武. 一种半导体器件及其制造方法. CN: CN115117147A, 2022-09-27.
[39] 孙永载, 杨红, 杨涛, 李俊杰, 王文武, 罗军. 一种测试元件组及其测试方法. CN: CN115083501A, 2022-09-20.
[40] 李永亮, 刘昊炎, 程晓红, 罗军, 王文武. 一种鳍式场效应晶体管及其制造方法. CN: CN115050818A, 2022-09-13.
[41] 李永亮, 赵飞, 罗军, 王文武. 一种环栅晶体管的制造方法. CN: CN115020233A, 2022-09-06.
[42] 李永亮, 张佳熠, 贾晓峰, 赵飞, 殷华湘, 罗军, 王文武. 一种半导体器件的制造方法. CN: CN114975282A, 2022-08-30.
[43] 李永亮, 陈安澜, 赵飞, 程晓红, 殷华湘, 罗军, 王文武. 一种半导体器件及其制造方法. CN: CN114944392A, 2022-08-26.
[44] 李永亮, 赵飞, 罗军, 王文武. 一种环栅晶体管及其制造方法. CN: CN114899236A, 2022-08-12.
[45] 李永亮, 张佳熠, 殷华湘, 罗军, 王文武. 一种半导体器件的制造方法. CN: CN114883270A, 2022-08-09.
[46] 杨尚博, 许高博, 殷华湘, 罗军. 一种无电容DRAM单元结构及制造方法. CN: CN114864583A, 2022-08-05.
[47] 李永亮, 赵飞, 陈安澜, 张佳熠, 殷华湘, 罗军, 王文武. 一种半导体器件及其制造方法. CN: CN114823668A, 2022-07-29.
[48] 李永亮, 毛晓烔, 程晓红, 马雪丽, 罗军, 王文武. 一种晶体管的制造方法. CN: CN114709135A, 2022-07-05.
[49] 李永亮, 贾晓锋, 殷华湘, 罗军, 王文武. 一种半导体器件的制造方法. CN: CN114709175A, 2022-07-05.
[50] 李永亮, 赵飞, 罗军, 王文武. 一种半导体器件及其制造方法. CN: CN114709222A, 2022-07-05.
[51] 李永亮, 陈安澜, 程晓红, 罗军, 王文武. 一种半导体器件的制造方法. CN: CN114678329A, 2022-06-28.
[52] 李龙范, 刘金彪, 杨涛, 李俊峰, 王文武, 罗军. 栅极及MOSFET的制造方法. CN: CN114678269A, 2022-06-28.
[53] 安重镒, 李相遇, 李东根, 刘金彪, 杨涛, 李俊峰, 王文武, 罗军. 栅叠层结构、栅极、DRAM及其制造方法. CN: CN114678268A, 2022-06-28.
[54] 李永亮, 刘昊炎, 殷华湘, 罗军, 王文武. 一种半导体器件及其制造方法. CN: CN114613769A, 2022-06-10.
[55] 李永亮, 张佳熠, 殷华湘, 罗军, 王文武. 一种半导体器件及其制造方法. CN: CN114613770A, 2022-06-10.
[56] 李永亮, 程晓红, 赵飞, 罗军, 王文武. 具有高驱动能力和陡峭SS特性的半导体器件及制造方法. CN: CN114566549A, 2022-05-31.
[57] 李恋恋, 都安彦, 田国良, 杨红, 罗军, 王文武. 半导体集成电路器件及其制作方法、电子设备. CN: CN114497028A, 2022-05-13.
[58] 李恋恋, 都安彦, 田国良, 杨红, 罗军, 王文武. 半导体集成电路器件及其制作方法、电子设备. CN: CN114497028A, 2022-05-13.
[59] 李恋恋, 都安彦, 田国良, 杨红, 罗军, 王文武. 半导体集成电路器件及其制作方法、电子设备. CN: CN114497028A, 2022-05-13.
[60] 陈睿, 都安彦, 韦亚一, 邵花, 杨红, 罗军, 王文武. 一种利用浅槽沟道隔离制造电源线的方法. CN: CN114464574A, 2022-05-10.
[61] 陈睿, 都安彦, 韦亚一, 邵花, 杨红, 罗军, 王文武. 一种利用浅槽沟道隔离制造电源线的方法. CN: CN114464574A, 2022-05-10.
[62] 宋智雨, 许高博, 颜刚平, 殷华湘, 罗军. 一种基于薄膜晶体管的无电容DRAM单元结构及制造方法. CN: CN114334980A, 2022-04-12.
[63] 崔岩, 罗军, 杨美音, 许静. 存算一体单元及逻辑功能可重构的存算一体电路. CN: CN114244348A, 2022-03-25.
[64] 毛淑娟, 罗军, 许静. 半导体器件与其制作方法. CN: CN109346409B, 2022-03-22.
[65] 崔岩, 罗军, 杨美音, 许静. 自旋霍尔器件、霍尔电压的获取方法及最大池化的方法. CN: CN114184833A, 2022-03-15.
[66] 贺晓彬, 杨涛, 李亭亭, 刘金彪, 唐波, 李俊峰, 罗军. 刻蚀梯形凹槽的方法及在衬底上形成金属线条的方法. CN: CN114171374A, 2022-03-11.
[67] 贺晓彬, 杨涛, 刘金彪, 李亭亭, 唐波, 李俊峰, 罗军. 在半导体衬底上制作交叉线的方法. CN: CN114171668A, 2022-03-11.
[68] 李俊杰, 罗军, 王文武, 杨涛, 李永亮, 周娜, 高建峰, 殷华湘, 张静, 洪欣. 一种半导体器件及其制备方法、电子设备. CN: CN114038911A, 2022-02-11.
[69] 高建峰, 刘卫兵, 李俊杰, 周娜, 项金娟, 杨涛, 李俊峰, 罗军. 一种半导体结构及其制备方法、三维存储器. CN: CN114023745A, 2022-02-08.
[70] 张青竹, 殷华湘. 一种半导体器件的制备方法及半导体器件. CN: CN114005835A, 2022-02-01.
[71] 刘金彪, 罗军, 杨涛, 李俊峰, 王桂磊. 绝缘体上单晶薄膜制备方法及层叠结构. CN: CN113964020A, 2022-01-21.
[72] 罗军, 赵超. 半导体器件及其制造方法. CN: CN113948467A, 2022-01-18.
[73] 杨美音, 高建峰, 罗军, 崔岩, 许静. 一种刻蚀方法. CN: CN113838798A, 2021-12-24.
[74] 毛淑娟, 殷华湘, 刘战峰, 王桂磊, 罗军. 一种基带RF一体化集成结构及集成方法. CN: CN113809070A, 2021-12-17.
[75] 李俊杰, 刘耀东, 罗军, 周娜, 王桂磊, 高建峰, 杨涛, 殷华湘, 赵超, 朱慧珑, 王文武. 一种二极管、探测器及探测器的制作方法. CN: CN111244193B, 2021-12-07.
[76] 杨美音, 李文静, 叶力, 向清懿, 罗军, 高建峰. 存储器电路及其数据写入和读取方法、存储器、电子设备. CN: CN113744776A, 2021-12-03.
[77] 杨美音, 崔岩, 罗军, 许静. 一种存储器件及其制造方法. CN: CN111459864B, 2021-11-30.
[78] 杨美音, 罗军",null,"许静. 一种自旋轨道转矩磁阻式随机存储器及其制造方法. CN: CN113707803A, 2021-11-26.
[79] 杨美音, 罗军. SOT-MRAM存储单元及其制备方法. CN: CN113690366A, 2021-11-23.
[80] 李永亮, 程晓红",null,null,"王文武. 一种半导体器件的制造方法. CN: CN113506774A, 2021-10-15.
[81] 刘金彪, 罗军, 李俊峰, 杨涛, 贺晓彬. 一种激光退火设备和激光退火方法. CN: CN113471102A, 2021-10-01.
[82] 杨美音, 崔岩, 罗军, 许静. 一种存储器件. CN: CN110061002B, 2021-09-21.
[83] 刘金彪, 罗军, 李俊峰, 贺晓彬. 一种合束装置、激光加工设备和激光退火方法. CN: CN113422296A, 2021-09-21.
[84] 刘金彪, 罗军, 李俊峰. 一种激光退火设备及激光退火方法. CN: CN113421836A, 2021-09-21.
[85] 高建峰, 贺晓彬, 李俊杰, 王佳, 刘卫兵, 杨涛, 李俊峰, 罗军. 约瑟夫森结的制备方法及约瑟夫森结. CN: CN113380942A, 2021-09-10.
[86] 孙祥烈, 许静, 罗军, 赵超. 键合半导体器件及其制备方法. CN: CN113380648A, 2021-09-10.
[87] 孙祥烈, 许静, 罗军, 赵超. 一种铜互连结构及其制备方法. CN: CN113380763A, 2021-09-10.
[88] 孙祥烈, 许静, 罗军, 赵超. 芯片单元、芯片组件和芯片单元的制作方法. CN: CN113380743A, 2021-09-10.
[89] 孙祥烈, 许静, 罗军, 赵超. 空气隙制作方法、空气隙和电子设备. CN: CN113380698A, 2021-09-10.
[90] 孙祥烈, 许静, 罗军. 半导体器件及其制备方法. CN: CN113380761A, 2021-09-10.
[91] 孙祥烈, 许静, 罗军, 赵超. 一种键合结构、多晶圆三维集成结构及其制备方法. CN: CN113380742A, 2021-09-10.
[92] 孙祥烈, 许静, 罗军, 赵超. 半导体器件及其制备方法. CN: CN113380699A, 2021-09-10.
[93] 毛淑娟, 刘战峰, 殷华湘, 刘金彪, 王桂磊, 李永亮, 罗军. 一种半导体器件及其制造方法. CN: CN113363214A, 2021-09-07.
[94] 毛淑娟, 刘战峰, 殷华湘, 刘金彪, 王桂磊",null,"罗军. 一种半导体器件及其制造方法. CN: CN113363214A, 2021-09-07.
[95] 毛淑娟, 殷华湘, 刘战峰, 罗军, 刘金彪, 张青竹, 张亚东. 一种半导体器件及其制造方法. CN: CN113345840A, 2021-09-03.
[96] 毛淑娟, 殷华湘",null,null,"张青竹, 罗军. 一种半导体器件及其制造方法. CN: CN113345841A, 2021-09-03.
[97] 杨美音, 罗军, 崔岩, 许静. 存储单元及其数据写入和读取方法、存储器、电子设备. CN: CN113328034A, 2021-08-31.
[98] 李永亮, 程晓红, 赵飞, 马雪丽, 杨红, 王晓磊, 罗军, 王文武. 一种半导体器件的制造方法. CN: CN113314423A, 2021-08-27.
[99] 张利斌, 韦亚一, 殷华湘",null,null,null,"罗军, 王文武. 一种半导体器件的制造方法. CN: CN113314423A, 2021-08-27.
[100] 杨美音, 罗军, 崔岩, 许静. 基于底电极垂直向电压控制的SOT-MRAM及制造、写入方法. CN: CN113224232A, 2021-08-06.
[101] 杨美音, 罗军, 崔岩, 许静. 基于底电极平行向电压控制的SOT-MRAM及制造方法. CN: CN113178518A, 2021-07-27.
[102] 罗军, 许静, 袁述, 张丹. 碳化硅欧姆接触结构的形成方法及MOS晶体管的制备方法. CN: CN113178414A, 2021-07-27.
[103] 张青竹, 殷华湘, 曹磊, 张兆浩, 田佳佳, 顾杰, 李俊杰, 姚佳欣, 李永亮, 张永奎, 吴振华, 赵鸿滨, 罗军, 王文武, 屠海令, 叶甜春. 一种Z 2 -FET器件及其制备方法、一种半导体器件. CN: CN113178489A, 2021-07-27.
[104] 刘金彪, 罗军, 李俊峰. 一种掺杂缺陷去除方法. CN: CN113130309A, 2021-07-16.
[105] 罗军, 袁述. 一种半导体器件的制造方法. CN: CN113130298A, 2021-07-16.
[106] 罗军, 袁述. 一种半导体器件的制造方法. CN: CN113130298A, 2021-07-16.
[107] 刘金彪, 罗军, 李俊峰. 一种研磨装置及方法. CN: CN113001391A, 2021-06-22.
[108] 钟汇才, 罗军, 朱慧珑. 绝缘体上鳍片的制造方法. CN: CN112992681A, 2021-06-18.
[109] 崔岩, 罗军, 杨美音, 许静, 张骥. 一种MTJ及其驱动方法和制作方法. CN: CN112928206A, 2021-06-08.
[110] 罗军, 叶甜春. 一种半导体器件及其制造方法. CN: CN112885724A, 2021-06-01.
[111] 刘金彪, 罗军, 李俊峰, 叶甜春. 一种半导体器件的制造方法. CN: CN112885715A, 2021-06-01.
[112] 毛淑娟, 刘战峰, 殷华湘, 刘金彪, 王桂磊, 李永亮, 罗军. 一种NMOS晶体管及其制造方法、三维异质集成芯片. CN: CN112864229A, 2021-05-28.
[113] 崔岩, 罗军, 杨美音, 许静, 张骥. 一种基于MTJ的真随机数发生器. CN: CN112835556A, 2021-05-25.
[114] 邓坚, 罗军, 赵超. 半导体器件及其制造方法. CN: CN112802798A, 2021-05-14.
[115] 李梦华, 罗军, 许静. MOS晶体管及利用离子注入提高源漏掺杂浓度的方法. CN: CN112652663A, 2021-04-13.
[116] 张丹, 罗军, 许静, 叶甜春. 金属互连结构、半导体器件及提高扩散阻挡层性能的方法. CN: CN112652607A, 2021-04-13.
[117] 刘金彪, 罗军, 李俊峰, 叶甜春. 形成源/漏接触的方法及晶体管的制作方法. CN: CN112635314A, 2021-04-09.
[118] 师文生, 李生阳, 罗军, 佘广为, 许静. 一种B掺杂的NiSi/n-Si光电阳极及其制备方法和应用. CN: CN109904251B, 2021-03-30.
[119] 杨美音, 罗军, 崔岩, 许静. 一种磁性隧道结及其制造方法、存储单元. CN: CN112563411A, 2021-03-26.
[120] 朱慧珑, 李春荣, 罗军. 半导体器件的形成方法. CN: CN112309871A, 2021-02-02.
[121] 杨美音, 崔岩, 罗军, 许静. 一种存储器件. CN: CN112054033A, 2020-12-08.
[122] 王素梅, 罗军, 赵超, 王文武, 叶甜春. 一种振荡器及其制造方法. CN: CN112038483A, 2020-12-04.
[123] 杨美音, 高建峰, 崔岩, 罗军, 许静. 一种磁性随机存储器及其制造方法. CN: CN111952438A, 2020-11-17.
[124] 毛淑娟, 罗军, 许静. Ge基NMOS晶体管及其制作方法. CN: CN111463133A, 2020-07-28.
[125] 李相遇, 熊文娟, 蒋浩杰, 李亭亭, 罗军. 一种多晶硅的沉积方法及其应用. CN: CN111430226A, 2020-07-17.
[126] 周娜, 李俊杰, 高建峰, 刘耀东, 李永亮, 罗军, 赵超, 杨涛, 李俊峰, 王文武. 一种金属纳米结构及其制作方法、电子器件、电子设备. CN: CN111415902A, 2020-07-14.
[127] 罗军, 赵超. 半导体器件及其制造方法. CN: CN107871708B, 2020-06-12.
[128] 张丹, 罗军, 都安彦, 高建峰, 赵超, 杨红, 王文武. 一种电子器件及其制作方法、集成电路和电子设备. CN: CN111211110A, 2020-05-29.
[129] 李永亮, 程晓红, 李俊杰, 马雪丽, 杨红, 王晓磊, 罗军, 王文武. 半导体器件及其制备方法、集成电路及电子设备. CN: CN111180520A, 2020-05-19.
[130] 李永亮, 程晓红, 李俊杰, 马雪丽, 杨红, 王晓磊, 罗军, 王文武. 一种半导体器件及其制备方法、集成电路及电子设备. CN: CN111180519A, 2020-05-19.
[131] YANG, Meiyin, LUO, Jun. SPIN-ORBIT TORQUE MAGNETORESISTIVE RANDON ACCESS MEMORY AND METHOD AND APPARATUS FOR WRITING THE SAME. CN: US20200152252(A1), 2020-05-14.
[132] 王桂磊, 亨利·H·阿达姆松, 罗军. 衬底及其制造方法. CN: CN106611740B, 2020-05-12.
[133] 毛淑娟, 罗军, 许静. 一种肖特基势垒晶体管及其制备方法. CN: CN111129126A, 2020-05-08.
[134] 杨美音, 高建峰, 罗军, 崔岩, 许静. 一种刻蚀方法. CN: CN111063798A, 2020-04-24.
[135] 罗军, 袁述, 许静, 张丹. 一种半导体器件的制造方法. CN: CN106952922B, 2020-04-14.
[136] 罗军, 毛淑娟, 许静. 一种MOS器件、制造方法、集成电路及电子设备. CN: CN110931361A, 2020-03-27.
[137] 李永亮, 程晓红, 罗军, 殷华湘, 王文武. 一种鳍状结构及半导体器件的制备方法. CN: CN110896034A, 2020-03-20.
[138] 毛淑娟, 罗军, 许静. 半导体器件与其制作方法. 中国: CN109346409A, 20190215.
[139] 毛淑娟, 罗军, 许静. 一种Ge基CMOS晶体管制备方法. CN: CN110634868A, 2019-12-31.
[140] 毛淑娟, 罗军, 许静. 一种CMOS晶体管、CMOS晶体管的制备方法及电子设备. CN: CN110634866A, 2019-12-31.
[141] 李俊杰, 刘耀东, 周娜, 王桂磊, 高建峰, 李永亮, 罗军, 赵超, 王文武. 一种金属纳米线或片的制作方法及纳米线或片. CN: CN110620033A, 2019-12-27.
[142] 毛淑娟, 罗军, 许静. 一种PMOS晶体管、PMOS晶体管的制备方法及电子设备. CN: CN110581175A, 2019-12-17.
[143] 孙晓峰, 秦仁刚, 盛拓. 一种半导体器件的制造方法. CN: CN110364475A, 2019-10-22.
[144] 罗军, 赵超, 钟汇才, 李俊峰. 半导体器件及其制造方法. KR: CN110349969A, 2019-10-18.
[145] 崔岩, 罗军, 杨美音, 许静. STT-MRAM参考单元及其制备方法及包含该参考单元的芯片. CN: CN110277490A, 2019-09-24.
[146] 崔岩, 罗军, 杨美音, 许静. 基于磁隧道结的存储器及其读写方法、制作方法. CN: CN110277115A, 2019-09-24.
[147] 崔岩, 罗军, 杨美音, 许静. 磁性单粒子探测装置及其制造方法、磁性单粒子探测方法. CN: CN110161113A, 2019-08-23.
[148] 崔岩, 罗军, 杨美音, 许静. 隧穿磁电阻传感器的调控方法及系统. CN: CN110109039A, 2019-08-09.
[149] 崔岩, 罗军, 杨美音, 许静. 信号检测装置以及系统. CN: CN110058314A, 2019-07-26.
[150] 崔岩, 罗军, 杨美音, 许静. 一种磁隧道结的形成方法及磁阻式随机存储器. CN: CN110061128A, 2019-07-26.
[151] 崔岩, 罗军, 杨美音, 许静. 磁隧道结的形成方法及磁阻式随机存储器. CN: CN110061127A, 2019-07-26.
[152] 杨美音. 一种存储器件. CN: CN110061002A, 2019-07-26.
[153] 杨美音, 崔岩, 罗军. 一种存储器件. CN: CN110034117A, 2019-07-19.
[154] 亨利·H·阿达姆松, 王桂磊, 罗军",null,null,"王文武. 半导体结构与其制作方法. CN: CN109950153A, 2019-06-28.
[155] 亨利·H·阿达姆松",null,null,null,"王文武. 半导体结构与其制作方法. CN: CN109920738A, 2019-06-21.
[156] 王文, 罗军, 许静. 芯片的切割方法. CN: CN109920759A, 2019-06-21.
[157] 杨美音, 罗军, 王素梅, 许静, 李彦如, 李俊峰, 崔岩, 王文武, 叶甜春. 一种多态存储器及其制造方法. CN: CN109904309A, 2019-06-18.
[158] 师文生, 李生阳, 罗军, 佘广为, 许静. 一种B掺杂的NiSi/n-Si光电阳极及其制备方法和应用. CN: CN109904251A, 2019-06-18.
[159] 张韫韬, 罗军, 许静. 金属栅功函数的调节方法及MOSFET的制备方法. CN: CN109904233A, 2019-06-18.
[160] 毛淑娟. 一种半导体器件的制造方法. CN: CN109887884A, 2019-06-14.
[161] 杨美音, 罗军, 许静, 杨腾智, 李彦如, 李俊峰. 一种半导体器件单元以及图像识别装置. CN: CN109768065A, 2019-05-17.
[162] 杨美音, 罗军, 杨腾智, 许静. 一种自旋轨道矩磁阻式随机存储器及其制造方法. CN: CN109742229A, 2019-05-10.
[163] 杨美音, 罗军, 杨腾智, 许静. 一种磁阻式随机存储器及其制造方法. CN: CN109713118A, 2019-05-03.
[164] 毛淑娟, 罗军, 唐波. 半导体器件与其制作方法. CN: CN109686658A, 2019-04-26.
[165] 罗军, 赵超. 半导体器件及其制造方法. CN: CN109671774A, 2019-04-23.
[166] 毛淑娟, 罗军, 许静. CMOS器件及其制备方法. CN: CN109671621A, 2019-04-23.
[167] 罗军, 毛淑娟, 许静. 肖特基势垒晶体管及其制备方法. CN: CN109671780A, 2019-04-23.
[168] 罗军, 赵超. 半导体器件及其制造方法. KR: CN104766864B, 2019-04-12.
[169] 杨美音, 罗军. 自旋轨道转矩磁阻式随机存储器及写入方法、装置. CN: CN109585644A, 2019-04-05.
[170] 李俊杰, 罗军, 王文武. 半导体器件与其制作方法. CN: CN109545748A, 2019-03-29.
[171] 叶建国. 一种存储器件及其制造方法. CN: CN109545959A, 2019-03-29.
[172] 罗军, 李永亮, 王文武. 半导体器件与其制作方法. CN: CN109473468A, 2019-03-15.
[173] 杨美音, 罗军, 李彦如, 杨腾智, 许静, 李俊峰. 一种自旋轨道转矩磁阻式随机存储器及其制造方法. CN: CN109449285A, 2019-03-08.
[174] 王素梅, 罗军, 赵超, 王文武, 叶甜春. 自旋轨道转矩驱动器件. CN: CN109301063A, 2019-02-01.
[175] 钟汇才. 半导体器件及其制造方法. CN: CN105702729B, 2019-01-15.
[176] 钟汇才, 罗军, 赵超, 朱慧珑. 半导体器件及其制造方法. CN: CN105702729B, 2019-01-15.
[177] 朱慧珑, 罗军, 李春龙. 鳍结构制造方法. CN: CN109216181A, 2019-01-15.
[178] 殷华湘, 罗军, 陈率, 朱慧珑. 半导体制造方法. 中国: CN104217947B, 2018.11.06.
[179] 熊文娟, 张鹏, 罗军, 李志华, 李俊峰, 赵超, 王文武, 亨利·H·阿达姆松. 半导体结构与其制作方法. CN: CN108807279A, 2018-11-13.
[180] 李俊杰, 罗军, 王文武. 半导体器件与其制作方法. CN: CN108807278A, 2018-11-13.
[181] 李俊杰, 吴振华, 张丹, 罗军, 王文武. 一种纳米线的制作方法. CN: CN108807170A, 2018-11-13.
[182] 罗军, 赵超, 钟汇才, 李俊峰, 陈大鹏. 半导体器件制造方法. CN: CN108493111A, 2018-09-04.
[183] 钟汇才. 半导体器件及其制造方法. CN: CN104795330B, 2018-09-04.
[184] 殷华湘, 罗军, 王文武, 叶甜春. CMOS器件及其制备方法. CN: CN108428667A, 2018-08-21.
[185] 朱慧珑, 李春龙, 罗军, 钟汇才, 梁擎擎, 叶甜春. 半导体器件及其制造方法. DE: CN108389787A, 2018-08-10.
[186] 钟汇才. 半导体器件及其制造方法. CN: CN104795329B, 2018-07-20.
[187] 钟汇才, 罗军, 赵劼, 赵超, 朱慧珑. 半导体器件及其制造方法. TW: CN108269737A, 2018-07-10.
[188] 许静, 罗军",null,"唐波. 一种半导体器件的制造方法. CN: CN108198782A, 2018-06-22.
[189] 王桂磊, 亨利·H·阿达姆松, 罗军, 赵超, 刘实. 基于应变调控的Ge光电探测器及其制作方法. CN: CN108063168A, 2018-05-22.
[190] 毛淑娟, 殷华湘. 一种半导体器件及其制造方法. CN: CN107546121A, 2018-01-05.
[191] 钟汇才, 罗军, 殷华湘, 朱慧珑. 半导体结构及其制造方法. CN: CN106952908A, 2017-07-14.
[192] 许静, 罗军, 唐兆云, 唐波, 王红丽. 一种半导体器件的制造方法. CN: CN106847682A, 2017-06-13.
[193] 王桂磊, 亨利·H·阿达姆松, 罗军, 李俊峰, 赵超. 衬底及其制造方法. CN: CN106611739A, 2017-05-03.
[194] 王桂磊, 亨利·H·阿达姆松, 罗军, 李俊峰, 赵超. 衬底及其制造方法. CN: CN106611740A, 2017-05-03.
[195] 罗军. 半导体器件及其制造方法. CN: CN106601820A, 2017-04-26.
[196] 梁擎擎, 罗军, 钟汇才, 赵超. 半导体器件及其制造方法. CN: CN103077919B, 2017-04-12.
[197] 罗军, 殷华湘, 钟汇才, 李俊峰, 陈大鹏. 半导体器件制造方法. CN: CN106504983A, 2017-03-15.
[198] 罗军, 赵超. 石墨烯的生长方法、石墨烯层及半导体器件. CN: CN105990091A, 2016-10-05.
[199] 罗军, 赵超, 李俊峰. 多栅晶体管及其制造方法. CN: CN102881724B, 2016-08-17.
[200] 邓坚, 罗军, 赵超. 半导体器件及其制造方法. JP: CN103871993B, 2016-08-17.
[201] 罗军, 亨利·H·阿达姆松, 王桂磊, 赵超. 石墨烯的生长方法、石墨烯层及半导体器件. CN: CN105845543A, 2016-08-10.
[202] 钟汇才, 罗军, 赵超, 朱慧珑. 鳍式场效应晶体管、鳍结构及其制造方法. CN: CN105789301A, 2016-07-20.
[203] 钟汇才, 罗军, 赵超, 朱慧珑. 鳍结构及其制造方法. CN: CN105789268A, 2016-07-20.
[204] 钟汇才, 罗军, 赵超, 朱慧珑. 衬底结构及其制造方法. CN: CN105789026A, 2016-07-20.
[205] 钟汇才, 罗军, 赵劼. 半导体器件及其制造方法. CN: CN105762188A, 2016-07-13.
[206] 钟汇才, 罗军, 赵劼. 半导体器件及其制造方法. CN: CN105762187A, 2016-07-13.
[207] 钟汇才, 罗军. 半导体器件及其制造方法. CN: CN105702725A, 2016-06-22.
[208] 钟汇才, 罗军. 半导体器件及其制造方法. CN: CN105702729A, 2016-06-22.
[209] 钟汇才, 罗军, 殷华湘, 朱慧珑. 侧墙形成方法和包括侧墙的半导体器件. CN: CN105632933A, 2016-06-01.
[210] 钟汇才, 罗军, 朱慧珑. 半导体器件及其制造方法. CN: CN105633157A, 2016-06-01.
[211] 钟汇才. 半导体器件制造方法. CN: CN105633158A, 2016-06-01.
[212] 罗军, 殷华湘, 钟汇才, 李俊峰, 陈大鹏. 半导体器件制造方法. CN: CN105489493A, 2016-04-13.
[213] 黄成军, 罗军, 赵超. 三维微纳结构、检测装置和检测方法. CN: CN105445250A, 2016-03-30.
[214] 包琦龙, 邓坚, 罗军, 赵超. 高电子迁移率晶体管的制造方法. JP: CN105405761A, 2016-03-16.
[215] 黄森, 刘新宇, 王鑫华, 魏珂, 包琦龙, 罗军, 赵超. 一种III族氮化物电子器件低温欧姆接触的制作方法. CN: CN105390382A, 2016-03-09.
[216] 崔虎山, 罗军, 刘庆波, 王桂磊, 卢一泓, 杨涛, 殷华湘, 李俊峰, 赵超. 形成金属硅化物的方法及其湿法腐蚀混合液配方. CN: CN105336600A, 2016-02-17.
[217] 黄成军, 罗军, 赵超. 微流体通道、侧向层流检测器件和微流体阀. CN: CN105329836A, 2016-02-17.
[218] 罗军, 殷华湘, 赵超, 钟汇才, 李俊峰, 陈大鹏. 半导体器件制造方法. CN: CN105336569A, 2016-02-17.
[219] Luo Jun, Zhao Chao. Semiconductor device and manufacturing method thereof. 美国: US9012965(B2), 2015.04.21.
[220] 罗军. 半导体器件及其制造方法. CN: CN102593174B, 2015-08-05.
[221] 罗军. 半导体器件及其制造方法. CN: CN102593173B, 2015-08-05.
[222] 钟汇才, 罗军, 赵超, 朱慧珑. 半导体器件及其制造方法. KR: CN104810373A, 2015-07-29.
[223] 黄成军, 罗军. 传感装置. CN: CN104792845A, 2015-07-22.
[224] 黄成军, 罗军, 赵超. 一种细胞定位单元、阵列、器件及其形成方法. CN: CN104789443A, 2015-07-22.
[225] 钟汇才, 罗军, 朱慧珑. 半导体器件及其制造方法. CN: CN104795330A, 2015-07-22.
[226] 钟汇才, 罗军. 制造半导体器件的方法. CN: CN104779163A, 2015-07-15.
[227] 徐强, 赵超, 罗军, 王桂磊, 杨涛, 李俊峰. 具有改善粘附性能和填充性能的钨层沉积方法. CN: CN104766792A, 2015-07-08.
[228] 毛淑娟, 罗军, 许静. 一种半导体器件的制造方法. CN: CN102931086B, 2015-07-08.
[229] Zhong Huicai, Liang Qingqing, Luo Jun, Zhao Chao. Semiconductor device structure, method for manufacturing the same, and method for manufacturing Fin. US: US9070719B2, 2015-06-30.
[230] 崔虎山, 熊文娟, 殷华湘, 罗军, 张永奎, 徐强, 朱慧珑, 赵超. 一种后栅工艺中ILD层的处理方法. CN: CN104637797A, 2015-05-20.
[231] 罗军, 赵超. 半导体器件及其制造方法. CN: CN102760762B, 2015-05-06.
[232] 罗军, 邓坚, 赵超, 钟汇才, 李俊峰, 陈大鹏. 半导体器件制造方法. CN: CN104465374A, 2015-03-25.
[233] 罗军, 赵超, 刘实. 半导体器件及其制造方法. KR: CN104299986A, 2015-01-21.
[234] 罗军, 赵超. 半导体器件及其制造方法. CN: CN102593000B, 2015-01-14.
[235] 殷华湘, 罗军, 陈率. 半导体制造方法. CN: CN104217947A, 2014-12-17.
[236] Luo Jun, Zhao Chao, Zhong Huicai, Li Junfeng, Chen Dapeng. METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE. 美国: US2014357027(A1), 2014-12-04.
[237] Luo, Jun, Zhao, Chao, Zhong, Huicai, Li, Junfeng, Chen, Dapeng. METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE. CN: US20140357027(A1), 2014-12-04.
[238] 朱慧珑, 李春荣. 半导体器件的形成方法. CN: CN102543745B, 2014-09-17.
[239] Yin, Haizhou, Luo, Jun, Zhu, Huilong, Luo, Zhijiong. Transistor with primary and semiconductor spacer, method for manufacturing transistor, and semiconductor chip comprising the transistor. US: US8835316(B2), 2014-09-16.
[240] Yin Haizhou, Luo Jun, Zhu Huilong, Luo Zhijiong. Transistor with primary and semiconductor spacer, method for manufacturing transistor, and semiconductor chip comprising the transistor. US: US8835316B2, 2014-09-16.
[241] Yin Huaxiang, 罗军, Zhao Chao, Liu Honggang, Chen Dapeng. Semiconductor device and manufacturing method thereof. 美国: US8816326(B2), 2014-08-26.
[242] 方雯, 罗军, 赵超. SiGe体区纵向1T-DRAM器件及其制造方法. CN: CN103972174A, 2014-08-06.
[243] 邓坚. 半导体器件及其制造方法. CN: CN103972089A, 2014-08-06.
[244] 邓坚. 半导体器件及其制造方法. CN: CN103972090A, 2014-08-06.
[245] 邓坚, 罗军. 半导体器件及其制造方法. CN: CN103972091A, 2014-08-06.
[246] 朱慧珑, 罗军, 李春龙, 邓坚, 赵超. 平坦化处理方法. CN: CN103854967A, 2014-06-11.
[247] 朱慧珑, 罗军, 李春龙, 邓坚, 赵超. 平坦化处理方法. CN: CN103854966A, 2014-06-11.
[248] 朱慧珑. 平坦化处理方法. CN: CN103854965A, 2014-06-11.
[249] 朱慧珑, 许淼, 罗军, 李春龙, 王桂磊. 鳍结构制造方法. CN: CN103854981A, 2014-06-11.
[250] Wu, Dongping, Luo, Jun, Piao, Yinghua, Zhu, Zhiwei, Zhang, Shili, Zhang, Wei. Schottky junction source/drain transistor and method of making. CN: US8697529(B2), 2014-04-15.
[251] 包琦龙, 邓坚, 罗军. 高电子迁移率晶体管及其制造方法. CN: CN103681831A, 2014-03-26.
[252] 包琦龙, 邓坚, 罗军. 高电子迁移率晶体管的制造方法. CN: CN103681323A, 2014-03-26.
[253] 包琦龙, 邓坚, 罗军, 赵超. 高电子迁移率晶体管及其制造方法. CN: CN103681831A, 2014-03-26.
[254] 钟汇才, 梁擎擎, 罗军. SOI衬底制作方法及SOI衬底. CN: CN103681447A, 2014-03-26.
[255] 钟汇才, 梁擎擎, 杨达, 罗军. 一种制造交叉点器件的方法. CN: CN103682088A, 2014-03-26.
[256] 钟汇才, 梁擎擎, 罗军, 赵超. 栅电极的形成方法. CN: CN103632944A, 2014-03-12.
[257] 钟汇才, 梁擎擎, 罗军, 赵超. 浅沟槽隔离及其制造方法. CN: CN103633009A, 2014-03-12.
[258] 钟汇才, 梁擎擎, 赵超, 杨达, 罗军. 一种半导体结构及其制造方法. 中国: CN103632972A, 2014-03-12.
[259] 包琦龙, 邓坚, 罗军, 赵超. 高电子迁移率晶体管及其制造方法. CN: CN103579328A, 2014-02-12.
[260] 钟汇才, 罗军, 朱慧珑. 半导体器件及其制造方法. KR: CN103545373A, 2014-01-29.
[261] 李永亮, 程晓红. 一种半导体器件的制造方法. CN: CN103515214A, 2014-01-15.
[262] 尹海洲, 罗军, 朱慧珑, 骆志炯. 一种半导体结构. 中国: CN202651088U, 2013.01.02.
[263] 罗军, 邓坚, 赵超, 钟汇才, 李俊峰, 陈大鹏. 半导体器件制造方法. CN: CN103377943A, 2013-10-30.
[264] 罗军, 邓坚, 赵超, 钟汇才, 李俊峰, 陈大鹏. 金属硅化物制造方法. CN: CN103377894A, 2013-10-30.
[265] 朱慧珑, 李春龙, 罗军",null,null,"叶甜春. 半导体器件及其制造方法. CN: CN103311280A, 2013-09-18.
[266] 赵超, 罗军, 钟汇才. 半导体场效应晶体管及其制作方法. CN: CN103296083A, 2013-09-11.
[267] 罗军, 赵超, 钟汇才, 李俊峰, 陈大鹏. 半导体器件制造方法. CN: CN103137486A, 2013-06-05.
[268] 罗军, 赵超, 钟汇才, 李俊峰, 陈大鹏. 具有抬升硅化物源漏接触的MOSFET及其制造方法. CN: CN103137668A, 2013-06-05.
[269] 罗军. 半导体器件. CN: CN103094326A, 2013-05-08.
[270] 梁擎擎, 罗军, 钟汇才. 半导体器件及其制造方法. CN: CN103077919A, 2013-05-01.
[271] Zhong Huicai, Liang Qingqing, Zhao Chao, Luo Jun. Semiconductor Structure and Method for Manufacturing the Same. US: US2013082310A1, 2013-04-04.
[272] 罗军, 赵超. 低源漏接触电阻MOSFETS及其制造方法. CN: CN103000675A, 2013-03-27.
[273] 罗军, 赵超. 低源漏接触电阻MOSFETs及其制造方法. CN: CN102983163A, 2013-03-20.
[274] 钟汇才, 罗军, 梁擎擎, 朱慧珑. 半导体器件结构及其制作方法. CN: CN102956458A, 2013-03-06.
[275] 钟汇才, 梁擎擎, 罗军, 赵超. 半导体器件结构及其制作方法、及半导体鳍制作方法. CN: CN102956457A, 2013-03-06.
[276] 钟汇才, 罗军, 赵超, 梁擎擎. 半导体器件的制造方法. CN: CN102956451A, 2013-03-06.
[277] 罗军, 赵超. 半导体器件及其制造方法. CN: CN102938415A, 2013-02-20.
[278] 罗军, 赵超. 半导体器件及其制造方法. CN: CN102938416A, 2013-02-20.
[279] 尹海洲, 罗军, 朱慧珑, 骆志炯. 一种晶体管和包括该晶体管的半导体芯片. CN: CN202749347U, 2013-02-20.
[280] 罗军. 半导体器件及其制造方法. CN: CN102931085A, 2013-02-13.
[281] 钟汇才, 罗军, 赵超, 朱慧珑. 半导体器件及其制造方法. CN: CN102891176A, 2013-01-23.
[282] 罗军, 赵超. 多栅晶体管及其制造方法. CN: CN102881724A, 2013-01-16.
[283] 尹海洲, 罗军, 朱慧珑, 骆志炯. 一种晶体管及其制作方法和包括该晶体管的半导体芯片. CN: CN102867748A, 2013-01-09.
[284] 赵超, 罗军, 钟汇才, 王文武. 金属源漏SOI MOS晶体管及其形成方法. CN: CN102832127A, 2012-12-19.
[285] 罗军, 赵超, 钟汇才, 李俊峰. 纳米线制造方法. CN: CN102826504A, 2012-12-19.
[286] 黄成军, 罗军, 赵超. 传感装置. CN: CN102778585A, 2012-11-14.
[287] 许静, 罗军, 唐兆云, 唐波, 王红丽. 一种半导体器件的制造方法. CN: CN102779751A, 2012-11-14.
[288] 赵超, 罗军, 陈大鹏, 叶甜春. 具有高击穿电压的HEMT及其制造方法. CN: CN102769033A, 2012-11-07.
[289] 罗军, 邓坚, 赵超, 钟汇才, 李俊峰, 陈大鹏. 半导体器件制造方法. CN: CN102760652A, 2012-10-31.
[290] 罗军, 赵超, 钟汇才. 半导体器件及其制造方法. CN: CN102760762A, 2012-10-31.
[291] 尹海洲, 罗军, 骆志炯, 朱慧珑. 一种半导体结构. 中国: CN202487541U, 2012-10-10.
[292] 罗军, 赵超, 钟汇才, 李俊峰. 热稳定性镍基硅化物源漏MOSFETs及其制造方法. CN: CN102693917A, 2012-09-26.
[293] 罗军, 赵超, 钟汇才, 李俊峰. 改进MOSFETs镍基硅化物热稳定性的方法. CN: CN102693916A, 2012-09-26.
[294] 罗军. 半导体器件及其制造方法. CN: CN102593174A, 2012-07-18.
[295] 罗军, 赵超. 半导体器件及其制造方法. CN: CN102593000A, 2012-07-18.
[296] 罗军, 赵超, 钟汇才. MOS晶体管及其制作方法. 中国: CN102569087A, 2012-07-11.
[297] 罗军, 赵超, 钟汇才. 自对准金属硅化物的形成方法. CN: CN102569048A, 2012-07-11.
[298] 罗军. 半导体器件及其制造方法. CN: CN102544089A, 2012-07-04.
[299] 尹海洲, 罗军, 朱慧珑, 骆志炯. 一种半导体结构及其制造方法. 中国: CN102487014A, 2012-06-06.
[300] 尹海洲, 罗军, 骆志炯, 朱慧珑. 一种半导体结构及其制造方法. 中国: CN102487015A, 2012-06-06.
[301] 罗军. 半导体器件及其制造方法. CN: CN102479818A, 2012-05-30.
[302] 罗军. 半导体器件及其制造方法. CN: CN102479812A, 2012-05-30.
[303] 罗军, 赵超. 半导体器件及其制造方法. CN: CN102437183A, 2012-05-02.
[304] 罗军, 赵超, 钟汇才, 李俊峰. 半导体器件及其制造方法. KR: CN102386189A, 2012-03-21.
[305] 罗军, 赵超. 半导体器件及其制造方法. JP: CN102194881A, 2011-09-21.
[306] 吴东平, 罗军, 朴颖华. 一种晶体管的制造方法. CN: CN102130011A, 2011-07-20.
[307] 吴东平, 罗军, 朴颖华, 朱志炜, 张世理, 张卫. 一种晶体管的制造方法. CN: CN102130009A, 2011-07-20.
[308] 钟汇才, 罗军, 朱慧珑. 半导体器件及其制造方法. NL: CN102017130A, 2011-04-13.
[309] 吴东平, 罗军, 朴颖华, 葛亮, 张世理, 仇志军. 形成超薄可控的金属硅化物的方法. CN: CN101764058A, 2010-06-30.
[310] 梁擎擎, 罗军, 钟汇才, 赵超, 朱慧珑. 半导体器件及其制造方法. JP: CN101740633A, 2010-06-16.
[311] 邓坚, 罗军, 赵超. 半导体器件及其制造方法. JP: CN101652833A, 2010-02-17.
[312] 罗军, 赵超. 半导体器件及其制造方法. JP: CN101587896A, 2009-11-25.
[313] 邓坚, 罗军, 赵超. 半导体器件及其制造方法. US: CN100511647C, 2009-07-08.
[314] 钟汇才, 罗军, 朱慧珑. 半导体器件制造方法. JP: CN100481321C, 2009-04-22.
[315] 罗军, 赵超, 钟汇才, 李俊峰. 半导体器件及其制造方法. KR: CN101051637A, 2007-10-10.
[316] 罗军, 赵超. 半导体器件及其制造方法. JP: CN1877838A, 2006-12-13.
[317] 邓坚, 罗军, 赵超. 半导体器件及其制造方法. JP: CN1862804A, 2006-11-15.
[318] 钟汇才, 罗军, 朱慧珑. 半导体器件及其制造方法. JP: CN1822384A, 2006-08-23.
[319] 钟汇才, 罗军, 赵劼, 赵超, 朱慧珑. 半导体器件及其制造方法. JP: CN1649159A, 2005-08-03.
[320] 殷华湘, 罗军, 赵超, 刘洪刚, 陈大鹏. 半导体器件及其制造方法. JP: CN1614765A, 2005-05-11.
[321] 钟汇才, 罗军, 赵超, 朱慧珑. 半导体器件及其制造方法. JP: CN1383215A, 2002-12-04.
[322] 罗军, 赵超. 半导体器件. JP: CN1245976A, 2000-03-01.

出版信息

   
发表论文
[1] 欧祥鹏, 杨在利, 唐波, 李志华, 罗军, 王文武, 杨妍. 2.5D/3D硅基光电子集成技术及应用. 光通信研究[J]. 2023, 1-16, http://lib.cqvip.com/Qikan/Article/Detail?id=7108809383.
[2] Wei Cao, Jia Chen, Peiyue Yu, Lei Zhao, Yanru Li, Meiyin Yang, Jing Xu, Jianfeng Gao, Bingjun Yang, Lei Yue, Zuo Chao, Yan Cui, Luo Jun. The effect of γ-ray irradiation on voltage-controlled magnetism of HfZrO/CoFeB Hall bar device. JOURNAL OF MAGNETISM AND MAGNETIC MATERIALS[J]. 2023, 575: http://dx.doi.org/10.1016/j.jmmm.2023.170695.
[3] Wang, Xiaolei, Cui, Shuainan, Yang, Meiyin, Zhao, Lei, Tan, Bi, Liu, Tao, Wang, Guangcheng, Deng, Jinxiang, Luo, Jun. Tuning crystal orientation and chiral spin order in Mn3Ge by annealing process and ion implantation. NANOTECHNOLOGY[J]. 2023, 34(31): http://dx.doi.org/10.1088/1361-6528/acce40.
[4] Zhao, Yongkang, Wang, Junlin, Xu, Lianxin, Yu, Peiyue, Hou, Mingxuan, Meng, Fei, Xie, Shuai, Meng, Yufei, Zhu, Ronggui, Hou, Zhipeng, Yang, Meiyin, Luo, Jun, Wu, Jing, Xu, Yongbing, Gao, Xingsen, Feng, Chun, Yu, Guanghua. Local Manipulation of Skyrmion Nucleation in Microscale Areas of a Thin Film with Nitrogen-Ion Implantation. ACS APPLIED MATERIALS & INTERFACES[J]. 2023, 15(11): 15004-15013, http://dx.doi.org/10.1021/acsami.3c00266.
[5] Sun, Xianglie, Luo, Jun, Liu, Yaodong, Xu, Jing, Gao, Jianfeng, Liu, Jinbiao, Zhou, Xuebing, He, Yanping, Kong, Mengjuan, Li, Yongliang, Li, Junfeng, Wang, Wenwu, Ye, Tianchun. A Refined Ladder Transmission Line Model for the Extraction of Significantly Low Specific Contact Resistivity. IEEE TRANSACTIONS ON ELECTRON DEVICES[J]. 2023, 70(1): 209-214, [6] Chang, Hao, Wang, Guilei, Yang, Hong, Liu, Qianqian, Zhou, Longda, Ji, Zhigang, Yu, Ruixi, Wu, Zhenhua, Yin, Huaxiang, Du, Anyan, Li, Junfeng, Luo, Jun, Zhao, Chao, Wang, Wenwu. Insight into over Repair of Hot Carrier Degradation by GIDL Current in Si p-FinFETs Using Ultra-Fast Measurement Technique. NANOMATERIALS[J]. 2023, 13(7): http://dx.doi.org/10.3390/nano13071259.
[7] Sun, Xianglie, Xu, Jing, Gao, Jianfeng, Liu, Jinbiao, He, Yanping, Chen, Xu, Kong, Mengjuan, Li, Yongliang, Li, Junfeng, Wang, Wenwu, Ye, Tianchun, Luo, Jun. Investigation of ultrathin yttrium silicide for NMOS source/drain contacts. JOURNAL OF MATERIALS SCIENCE-MATERIALS IN ELECTRONICS[J]. 2023, 34(15): http://dx.doi.org/10.1007/s10854-023-10660-y.
[8] Tian, Guoliang, Chen, Jia, Yan, Gangping, Li, Lianlian, Song, Zhiyu, Yang, Shangbo, Zhang, Zhaohao, Xu, Gaobo, Yin, Huaxiang, Yang, Shuai, Luo, Yanna, Bi, Jinshun, Wu, Zhenhua, Wang, Guilei, Zhao, Chao, Luo, Jun, Wang, Wenwu. Highly Reliable Logic-in-Memory by Bidirectional Built-in Electric- Field-Modulated Multistate IGZO/AFE Nonvolatile Memory. ACS APPLIED ELECTRONIC MATERIALS. 2023, http://dx.doi.org/10.1021/acsaelm.2c01542.
[9] 肖忠睿, 王琪. Vertical N-Type and P-Type Nanosheet FETs With C-Shaped Channel. IEEE TRANSACTIONS ON ELECTRON DEVICES[J]. 2023, [10] Yu, Peiyue, Zhao, Lei, Gao, Jianfeng, Wang, Wenwu, Luo, Jun, Yang, Meiyin. Annealing effect on the magneto-electric properties of SOT-MTJs from micro to nano-sized dimensions. JAPANESE JOURNAL OF APPLIED PHYSICS[J]. 2023, 62(SH): http://dx.doi.org/10.35848/1347-4065/acceab.
[11] Chang, Hao, Liu, Qianqian, Yang, Hong, Zhou, Longda, Ji, Zhigang, Tang, Bo, Zhang, Qingzhu, Yin, Huaxiang, Du, Anyan, Li, Junfeng, Luo, Jun, Wang, Wenwu. Effectiveness of Repairing Hot Carrier Degradation in Si p-FinFETs Using Gate Induced Drain Leakage. IEEE ELECTRON DEVICE LETTERS[J]. 2023, 44(3): 372-375, http://dx.doi.org/10.1109/LED.2023.3241444.
[12] Fei Zhao, Xiaofeng Jia, Huaizhi Luo, Jiayi Zhang, XiaoTong Mao, Yan Li, Jun Luo, Wenwu Wang, Yongliang Li. Hybrid integrated Si nanosheet GAA-FET and stacked SiGe/Si FinFET using selective channel release strategy. MICROELECTRONIC ENGINEERING[J]. 2023, 275: http://dx.doi.org/10.1016/j.mee.2023.111993.
[13] Zhao, Lei, Yang, Meiyin, Gao, Jianfeng, Yang, Tengzhi, Cui, Yan, Xu, Jing, Li, Junfeng, Xiang, Qingyi, Li, Wenjing, Luo, Feilong, Ye, Li, Luo Jun. Enhancement of Magnetic and Electric Transport Performance of Perpendicular Spin-Orbit Torque Magnetic Tunnel Junction by Stop-on-MgO Etching Process. IEEE ELECTRON DEVICE LETTERS[J]. 2023, 44(3): 408-411, http://dx.doi.org/10.1109/LED.2023.3236835.
[14] Liu, Enxu, Li, Junjie, Zhou, Na, Chen, Rui, Shao, Hua, Gao, Jianfeng, Zhang, Qingzhu, Kong, Zhenzhen, Lin, Hongxiao, Zhang, Chenchen, Lai, Panpan, Yang, Chaoran, Liu, Yang, Wang, Guilei, Zhao, Chao, Yang, Tao, Yin, Huaxiang, Li, Junfeng, Luo, Jun, Wang, Wenwu. Study of Selective Dry Etching Effects of 15-Cycle Si0.7Ge0.3/Si Multilayer Structure in Gate-All-Around Transistor Process. NANOMATERIALS[J]. 2023, 13(14): http://dx.doi.org/10.3390/nano13142127.
[15] Zhang, Yongkui, Li, Yangyang, Zhu, Huilong, Wang, Qi, Du, Yong, Lu, Shunshun, Li, Junjie, Kong, Zhenzhen, He, Xiaobin, Liu, Jinbiao, Li, Chen, Huang, Weixing, Xie, Lu, Xiao, Zhongrui, Xu, Gaobo, Wang, Guilei, Zhao, Chao, Luo, Jun. First Demonstration of Vertical Sandwich GAA TFETs with Self-Aligned High-k Metal Gates and Abrupt Doping Tunneling Junctions. ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY[J]. 2023, 12(7): http://dx.doi.org/10.1149/2162-8777/ace8bb.
[16] Li, Shuai, Luo, Jun, Ye, Tianchun. Investigation of Reducing Interface State Density in 4H-SiC by Increasing Oxidation Rate. NANOMATERIALS[J]. 2023, 13(9): http://dx.doi.org/10.3390/nano13091568.
[17] Liu, Jinbiao, Xu, Jing, Cui, Hengwei, Sun, Xianglie, Mao, Shujuan, Miao, Yuanhao, Yu, Jiahan, Han, Jianghao, Kong, ZhenZhen, Yang, Tao, Li, Junfeng, Luo, Jun. Formation of Highly-Activated N-Type Shallow Junction in Germanium Using Nanosecond Laser Annealing and Fluorine Co-Doping. ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY[J]. 2023, 12(5): http://dx.doi.org/10.1149/2162-8777/acd721.
[18] Zhou, Xuebing, Xu, Jing, 高建峰, Liu, Jinbiao, Zhang, Dan, Liu, Yaodong, Sun, Xianglie, Kong, Mengjuan, Li, Yongliang, Li, Junfeng, Wang, Wenwu, Ye, Tianchun, Luo, Jun. Time-dependent dielectric breakdown (TDDB) for Co0.65Ti0.35 as a single barrier/liner in local Co interconnects. JOURNAL OF MATERIALS SCIENCE-MATERIALS IN ELECTRONICS[J]. 2022, 33(17): 14063-14070, http://dx.doi.org/10.1007/s10854-022-08337-z.
[19] Zhang, Haoyue, She, Guangwei, Xu, Jing, Li, Shengyang, Liu, Yun, Luo, Jun, Shi, Wensheng. Electrochemical surface reconstructed Ptx(x=2,3)Si/PtSi/p-Si photocathodes for achieving high efficiency in photoelectrochemical H-2 generation. JOURNAL OF MATERIALS CHEMISTRY A[J]. 2022, 10(9): 4952-4959, http://dx.doi.org/10.1039/d1ta09346k.
[20] Tengzhi Yang, Meiyin Yang, Jun Luo, 高建峰. Field-Free Deterministic Writing of Spin-Orbit Torque Magnetic Tunneling Junction by Unipolar Current. Ieee Electron Device Letters[J]. 2022, 43(5): 709-, [21] Chen, Jia, Zhao, Lei, Tian, Guoliang, Yang, Tengzhi, Cao, Wei, Xu, Jing, 高建峰, Li, Junfeng, Wang, Wenwu, Kang, Jin, Bu, Weihai, Zheng, Kai, Yang, Bingjun, Yue, Lei, Cui, Yan, Luo, Jun. Highly efficient voltage-controlled magnetism in HfZrO/CoFeB hybrid film and Hall device. JAPANESE JOURNAL OF APPLIED PHYSICS[J]. 2022, 61(SJ): http://dx.doi.org/10.35848/1347-4065/ac5a29.
[22] Zhao, Lei, Yang, Meiyin, 高建峰, Yang, Tengzhi, Cui, Yan, Xu, Jing, Li, Junfeng, Yang, Bingjun, Yue, Lei, Zuo, Chao, Luo, Jun. Spin Logic Operated by Unipolar Voltage Inputs. IEEE ELECTRON DEVICE LETTERS[J]. 2022, 43(8): 1239-1242, [23] Yanru Li, 杨美音, Jun Luo. Temperature response of non-hysteresis magnetic switching by electrical current. JOURNALOFMATERIALSSCIENCEMATERIALSINELECTRONICS[J]. 2022, 33: 6681-6688, [24] yanru Li, Meiyin Yang, Guoqiang Yu, Baoshan Cui, Jun Luo. Current controlled non-hysteresis magnetic switching in the absence of magnetic field. Applied Physics Letters[J]. 2022, 120: 062402-, [25] Cao, Wei, Gao, Jianfeng, Yang, Meiyin, Xu, Jing, Cui, Yan, Luo, Jun. The heavy ions irradiation effects on advanced spin transfer torque materials. JOURNALOFMAGNETISMANDMAGNETICMATERIALS[J]. 2022, 542: http://dx.doi.org/10.1016/j.jmmm.2021.168579.
[26] Shujuan Mao, Jianfeng Gao, Xiaobin He, Weibing Liu, Jinbiao Liu, Guilei Wang, Na Zhou, Yanna Luo, Lei Cao, Ran Zhang, Haochen Liu, Xun Li, Yongliang Li, Zhenhua Wu, Junfeng Li, Jun Luo, Chao Zhao, Wenwu Wang, Huaxiang Yin. Low-Temperature (≤500 °C) Complementary Schottky Source/Drain FinFETs for 3D Sequential Integration. NANOMATERIALS[J]. 2022, 12: https://doaj.org/article/718ad3c0a2d44cbcb194c795c597a267.
[27] Gu, Jie, Zhang, Qingzhu, Wu, Zhenhua, Luo, Yanna, Cao, Lei, Cai, Yuwei, Yao, Jiaxin, Zhang, Zhaohao, Xu, Gaobo, Yin, Huaxiang, Luo, Jun, Wang, Wenwu. Narrow Sub-Fin Technique for Suppressing Parasitic-Channel Effect in Stacked Nanosheet Transistors. IEEE JOURNAL OF THE ELECTRON DEVICES SOCIETY[J]. 2022, 10: 35-39, http://dx.doi.org/10.1109/JEDS.2021.3130123.
[28] Zhang, Zhaohao, Li, Yudong, Xu, Jing, Tang, Bo, Xiang, Jinjuan, Li, Junjie, Zhang, Qingzhu, Wu, Zhenhua, Yin, Huaxiang, Luo, Jun, Wang, Wenwu. Improved Subthreshold Characteristics by Back-Gate Coupling on Ferroelectric ETSOI FETs. NANOSCALE RESEARCH LETTERS[J]. 2022, 17(1): http://dx.doi.org/10.1186/s11671-022-03767-4.
[29] 李昱东, 张兆浩, 闫江, 唐波, 张青竹, 罗军. 超薄Hf0.5Zr0.5O2铁电薄膜制备及在ETSOI器件应用研究. 稀有金属. 2022, 46(4): 480-487, https://d.wanfangdata.com.cn/periodical/xyjs202204009.
[30] Zhang, Dan, Su, Xiaojing, Chang, Hao, Xu, Hao, Wang, Xiaolei, He, Xiaobin, Li, Junjie, Zhao, Fei, Yao, Qide, Luo, Yanna, Ma, Xueli, Yang, Hong, Li, Yongliang, Wu, Zhenhua, Su, Yajuan, Yang, Tao, Wei, Yayi, Du, Anyan, Zhu, Huilong, Li, Junfeng, Yin, Huaxiang, Luo, Jun, Ye, Tianchun, Wang, Wenwu. Advanced process and electron device technology. TSINGHUA SCIENCE AND TECHNOLOGY[J]. 2022, 27(3): 534-558, http://dx.doi.org/10.26599/TST.2021.9010049.
[31] Xu, Renren, Yao, Jiaxin, Xu, Gaobo, Wei, Yanzhao, Yin, Huaxiang, Zhang, Qingzhu, Tian, Guoliang, Wang, Yanrong, Yan, Gangping, Xiang, Jinjuan, Bu, Weihai, Wu, Yongqin, Wu, Zhenhua, Luo, Jun, Wang, Wenwu. Experimental Investigation of Ultrathin Al2O3 Ex-Situ Interfacial Doping Strategy on Laminated HKMG Stacks via ALD. IEEE TRANSACTIONS ON ELECTRON DEVICES[J]. 2022, 69(4): 1964-1971, http://dx.doi.org/10.1109/TED.2022.3152976.
[32] Li, Peng, Qiu, Pengfei, Xu, Qing, Luo, Jun, Xiong, Yifei, Xiao, Jie, Aryal, Niraj, Li, Qiang, Chen, Lidong, Shi, Xun. Colossal Nernst power factor in topological semimetal NbSb2. NATURE COMMUNICATIONS[J]. 2022, 13(1): http://dx.doi.org/10.1038/s41467-022-35289-z.
[33] Liu, Yaodong, Sun, Xianglie, Xu, Jing, 高建峰, Liu, Jinbiao, Zhou, Xuebing, Li, Yongliang, Li, Junfeng, Wang, Wenwu, Ye, Tianchun, Luo, Jun. Insertion of Hafnium Interlayer to Improve the Thermal Stability of Ultrathin TiSix in TiSix/n(+)-Si Ohmic Contacts. IEEE TRANSACTIONS ON ELECTRON DEVICES[J]. 2022, 69(6): 3347-3352, http://dx.doi.org/10.1109/TED.2022.3166719.
[34] Liu, Haoyan, Li, Yongliang, Cheng, Xiaohong, Zan, Ying, Lu, Yihong, Wang, Guilei, Li, Junjie, Kong, Zhenzhen, Ma, Xueli, Wang, Xiaolei, Yang, Hong, Luo, Jun, Wang, Wenwu. Fabrication and selective wet etching of Si0.2Ge0.8/Ge multilayer for Si0.2Ge0.8 channel gate-all-around MOSFETs. MATERIALS SCIENCE IN SEMICONDUCTOR PROCESSING[J]. 2021, 121: http://dx.doi.org/10.1016/j.mssp.2020.105397.
[35] Zhang, Qingzhu, Gu, Jie, Xu, Renren, Cao, Lei, Li, Junjie, Wu, Zhenhua, Wang, Guilei, Yao, Jiaxin, Zhang, Zhaohao, Xiang, Jinjuan, He, Xiaobin, Kong, Zhenzhen, Yang, Hong, Tian, Jiajia, Xu, Gaobo, Mao, Shujuan, Radamson, Henry H, Yin, Huaxiang, Luo, Jun. Optimization of Structure and Electrical Characteristics for Four-Layer Vertically-Stacked Horizontal Gate-All-Around Si Nanosheets Devices. NANOMATERIALS[J]. 2021, 11(3): https://www.ncbi.nlm.nih.gov/pmc/articles/PMC7998492/.
[36] Zhang, Dan, Fu, Chaochao, Xu, Jing, Zhao, Chao, Gao, Jianfeng, Liu, Yaodong, Li, Menghua, Li, Junfeng, Wang, Wenwu, Chen, Dapeng, Ye, Tianchun, Wu, Dongping, Luo, Jun. NiSi/p(+)-Si(n(+)-Si)/n-Si(p-Si) Diodes With Dopant Segregation (DS): p-n or Schottky Junctions?. IEEE TRANSACTIONS ON ELECTRON DEVICES[J]. 2021, 68(6): 2886-2891, http://dx.doi.org/10.1109/TED.2021.3075199.
[37] Zhang, Dan, Zhao, Chao, Xu, Jing, 高建峰, Liu, Jinbiao, Liu, Yaodong, Li, Menghua, Zhou, Xuebing, Sun, Xianglie, Li, Yongliang, Li, Junfeng, Wang, Wenwu, Chen, Dapeng, Ye, Tianchun, Luo, Jun. A Novel Method to Reduce Specific Contact Resistivity of TiSix/n(+)-Si Contacts by Employing an In-Situ Steam Generation Oxidation Prior to Ti Silicidation. IEEE ELECTRON DEVICE LETTERS[J]. 2021, 42(7): 958-961, [38] Li, Shengyang, Zhang, Haoyue, She, Guangwei, Xu, Jing, Zhang, Shaoyang, Deng, Yuwang, Mu, Lixuan, Zhou, Qingli, Liu, Yun, Luo, Jun, Shi, Wensheng. NiSi2/p-Si Schottky Junction Photocathode with a High-Quality Epitaxial Interface for Efficient Hydrogen Evolution. ACS APPLIED ENERGY MATERIALS[J]. 2021, 4(10): 11574-11579, http://dx.doi.org/10.1021/acsaem.1c02318.
[39] Yang, Meiyin, Li, Yanru, Luo, Jun, Deng, Yongcheng, Zhang, Nan, Zhang, Xueying, Li, Shaoxin, Cui, Yan, Yu, Peiyue, Yang, Tengzhi, Sheng, Yu, Wang, Sumei, Xu, Jing, Zhao, Chao, Wang, Kaiyou. All-Linear Multistate Magnetic Switching Induced by Electrical Current. PHYSICAL REVIEW APPLIED[J]. 2021, 15(5): http://dx.doi.org/10.1103/PhysRevApplied.15.054013.
[40] Mao, Shujuan, Zhao, Chao, Liu, Jinbiao, Wang, Guilei, Zhang, Yongkui, Wang, Yao, Cui, Hengwei, Liu, Weibing, Li, Menghua, Liu, Yaodong, Zhang, Dan, Xu, Jing, Gao, Jianfeng, Li, Yongliang, Wang, Wenwu, Chen, Dapeng, Li, Junfeng, Ye, Tianchun, Luo, Jun. Experimental Investigation of As Preamorphization Implant on Electrical Property of Ti-Based Silicide Contacts. IEEE TRANSACTIONS ON ELECTRON DEVICES[J]. 2021, 68(4): 1835-1840, http://dx.doi.org/10.1109/TED.2021.3057337.
[41] Liu, Yaodong, Xu, Jing, Gao, Jianfeng, Liu, Jinbiao, Zhang, Dan, Zhou, Xuebing, Sun, Xianglie, Li, Yongliang, Li, Junfeng, Zhao, Chao, Wang, Wenwu, Chen, Dapeng, Ye, Tianchun, Luo, Jun. Thermal stability issue of ultrathin Ti-based silicide for its application in prospective DRAM peripheral 3D FinFET transistors. JOURNAL OF MATERIALS SCIENCE-MATERIALS IN ELECTRONICS[J]. 2021, 32(19): 24107-24114, http://dx.doi.org/10.1007/s10854-021-06874-7.
[42] Yang, Tengzhi, Yang, Meiyin, Zhao, Lei, Gao, Jianfeng, Xiang, Qingyi, Li, Wenjing, Luo, Feilong, Ye, Li, Luo, Jun. Field-Free Deterministic Writing of SOT-MTJ by Unipolar Current. 2021, [43] Gu, Jie, Zhang, Qingzhu, Wu, Zhenhua, Yao, Jiaxin, Zhang, Zhaohao, Zhu, Xiaohui, Wang, Guilei, Li, Junjie, Zhang, Yongkui, Cai, Yuwei, Xu, Renren, Xu, Gaobo, Xu, Qiuxia, Yin, Huaxiang, Luo, Jun, Wang, Wenwu, Ye, Tianchun. Cryogenic Transport Characteristics of P-Type Gate-All-Around Silicon Nanowire MOSFETs. NANOMATERIALS[J]. 2021, 11(2): https://www.ncbi.nlm.nih.gov/pmc/articles/PMC7911106/.
[44] Longda Zhou, Qianqian Liu, Hong Yang, Zhigang Ji, Hao Xu, Guilei Wang, Eddy Simoen, Haojie Jiang, Ying Luo, Zhenzhen Kong, Guobin Bai, Jun Luo, Huaxiang Yin, Chao Zhao, Wenwu Wang. Alleviation of Negative-Bias Temperature Instability in Si p-FinFETs With ALD W Gate-Filling Metal by Annealing Process Optimization. IEEE JOURNAL OF THE ELECTRON DEVICES SOCIETY[J]. 2021, 9: 229-235, https://doaj.org/article/c5b752e8b8c341c8ae304cdcd783f0ac.
[45] Yang, Tengzhi, Gao, Jianfeng, Cui, Yan, Xu, Jing, Li, Junfeng, Wang, Wenwu, Luo, Jun, Yang, Meiyin. Thermal stability of SOT-MTJ thin films tuning by multiple interlayer couplings. JOURNAL OF MAGNETISM AND MAGNETIC MATERIALS[J]. 2021, 529: http://dx.doi.org/10.1016/j.jmmm.2021.167823.
[46] Tengzhi Yang, Yan Cui, Yanru Li, Meiyin Yang, Jing Xu, Huiming He, Shiyu Wang, Jing Zhang, Jun Luo. The effect ofγ-ray irradiation on the SOT magnetic films and Hall devices. 半导体学报:英文版[J]. 2021, 42(2): 108-112, http://lib.cqvip.com/Qikan/Article/Detail?id=7104120146.
[47] Li, Shengyang, She, Guangwei, Xu, Jing, Zhang, Shaoyang, Zhang, Haoyue, Mu, Lixuan, Ge, Chen, Jin, Kuijuan, Luo, Jun, Shi, Wensheng. Metal Silicidation in Conjunction with Dopant Segregation: A Promising Strategy for Fabricating High-Performance Silicon-Based Photoanodes. ACS APPLIED MATERIALS & INTERFACES[J]. 2020, 12(35): 39092-39097, https://www.webofscience.com/wos/woscc/full-record/WOS:000569268800025.
[48] Zhang, Dan, Du, Anyan, Zhao, Chao, Gao, Jianfeng, Mao, Shujuan, Xu, Jing, Gu, Shihai, Liu, Yaodong, Luo, Xue, Li, Yongliang, Li, Junfeng, Wang, Wenwu, Chen, Dapeng, Ye, Tianchun, Luo, Jun. Investigation of Barrier Property of Amorphous Co-Ti Layer as Single Barrier/Liner in Local Co Interconnects. IEEE TRANSACTIONS ON ELECTRON DEVICES[J]. 2020, 67(5): 2076-2081, http://dx.doi.org/10.1109/TED.2020.2983302.
[49] Zhou, Longda, Liu, Qianqian, Yang, Hong, Ji, Zhigang, Xu, Hao, Tang, Bo, Simoen, Eddy, Jiang, Haojie, Luo, Ying, Wang, Xiaolei, Ma, Xueli, Li, Yongliang, Luo, Jun, Yin, Huaxiang, Zhao, Chao, Wang, Wenwu. Insights Into the Effect of TiN Thickness Scaling on DC and AC NBTI Characteristics in Replacement Metal Gate pMOSFETs. IEEE TRANSACTIONS ON DEVICE AND MATERIALS RELIABILITY[J]. 2020, 20(3): 498-505, http://dx.doi.org/10.1109/TDMR.2020.2997811.
[50] Zhao, Xuewei, Moeen, M, Toprak, M S, Wang, Guilei, Luo, Jun, Ke, Xingxing, Li, Zhihua, Liu, Daoqun, Wang, Wenwu, Zhao, Chao, Radamson, Henry H. Design impact on the performance of Ge PIN photodetectors. JOURNAL OF MATERIALS SCIENCE-MATERIALS IN ELECTRONICS[J]. 2020, 31(1): 18-25, https://www.webofscience.com/wos/woscc/full-record/WOS:000518400500004.
[51] Wang, Xiaolei, Sun, Xiaoqing, Zhang, Yuanyuan, Zhou, Lixing, Xiang, Jinjuan, Ma, Xueli, Yang, Hong, Li, Yongliang, Han, Kai, Luo, Jun, Zhao, Chao, Wang, Wenwu. Impact of Charges at Ferroelectric/Interlayer Interface on Depolarization Field of Ferroelectric FET With Metal/Ferroelectric/Interlayer/Si Gate-Stack. IEEE TRANSACTIONS ON ELECTRON DEVICES[J]. 2020, 67(10): 4500-4506, https://www.webofscience.com/wos/woscc/full-record/WOS:000572635400090.
[52] Luo Jun. Role of Carbon Pre-Germanidation Implantation on Enhancing the Thermal Stability of NiGe Films Below 10 nm Thickness. ECS Journal of Solid State ence and Technology. 2020, [53] Mao, Shujuan, Zhao, Chao, Liu, Jinbiao, Wang, Guilei, Li, Ben, Liu, Weibing, Li, Menghua, Liu, Yaodong, Zhang, Dan, Xu, Jing, Gao, Jianfeng, Li, Yongliang, Wang, Wenwu, Chen, Dapeng, Li, Junfeng, Ye, Tianchun, Luo, Jun. Investigation of Ultrathin Ni Germanosilicide for Advanced pMOS Contact Metallization. IEEE TRANSACTIONS ON ELECTRON DEVICES[J]. 2020, 67(11): 5039-5044, http://dx.doi.org/10.1109/TED.2020.3026986.
[54] Cheng, Xiaohong, Li, Yongliang, Wang, Guilei, Liu, Haoyan, Zan, Ying, Lin, Hongxiao, Kong, Zhenzhen, Zhong, Zhaoyang, Li, Yan, Wang, Hanxiang, Xu, Gaobo, Ma, Xueli, Wang, Xiaolei, Yang, Hong, Luo, Jun, Wang, Wenwu. Investigation on thermal stability of Si0.7Ge0.3/Si stacked multilayer for gate-all-around MOSFETS. SEMICONDUCTOR SCIENCE AND TECHNOLOGY[J]. 2020, 35(11): https://www.webofscience.com/wos/woscc/full-record/WOS:000575362500001.
[55] Zhao, Zhiqian, Cheng, Xiaohong, Li, Yongliang, Zan, Ying, Liu, Haoyan, Wang, Guilei, Du, Anyan, Li, Junjie, Zhang, Qingzhu, Xu, Gaobo, Ma, Xueli, Wang, Xiaolei, Yang, Hong, Xu, Jing, Luo, Jun, Li, JunFeng, Yin, Huaxiang, Wang, Wenwu. Investigation on the formation technique of SiGe Fin for the high mobility channel FinFET device. JOURNAL OF MATERIALS SCIENCE-MATERIALS IN ELECTRONICS[J]. 2020, 31(8): 5854-5860, https://www.webofscience.com/wos/woscc/full-record/WOS:000499978000002.
[56] Mao, Shujuan, Zhao, Chao, Liu, Jinbiao, Wang, Guilei, Li, Menghua, Liu, Yaodong, Luo, Xue, Zhan, Dan, Xu, Jing, Wang, Wenwu, Chen, Dapeng, Li, Junfeng, Ye, Tianchun, Luo, Jun. Specific Contact Resistivity Improvement by As Preamorphization Implantation for Ti-Based Ohmic Contacts on n(+)-Si. IEEE TRANSACTIONS ON ELECTRON DEVICES[J]. 2020, 67(4): 1726-1729, http://dx.doi.org/10.1109/TED.2020.2975235.
[57] Zhou, Longda, Zhang, Qingzhu, Yang, Hong, Ji, Zhigang, Zhang, Zhaohao, Liu, Qianqian, Xu, Hao, Tang, Bo, Simoen, Eddy, Ma, Xueli, Wang, Xiaolei, Li, Yongliang, Yin, Huaxiang, Luo, Jun, Zhao, Chao, Wang, Wenwu. Understanding Frequency Dependence of Trap Generation Under AC Negative Bias Temperature Instability Stress in Si p-FinFETs. IEEE ELECTRON DEVICE LETTERS[J]. 2020, 41(7): 965-968, https://www.webofscience.com/wos/woscc/full-record/WOS:000545436900001.
[58] Zhang, Dan, Sheng, Jie, Zhao, Chao, Xu, Jing, Gao, Jianfeng, Mao, Shujuan, Men, Yang, Liu, Pengfei, Zhang, Jing, Luo, Xue, Li, Junfeng, Wang, Wenwu, Chen, Dapeng, Ye, Tianchun, Luo, Jun. Effects of Ni Film Thickness on the Properties of Ni-Based Silicides Formed on Both Highly Doped n- and p-Si Substrate. ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY[J]. 2020, 9(3): https://www.webofscience.com/wos/woscc/full-record/WOS:000537394900001.
[59] Zhang, Dan, Zhao, Chao, Luo, Jun, Mao, Shujuan, Wang, Guilei, Xu, Jing, Luo, Xue, Li, Junfeng, Li, Yongliang, Wang, Wenwu, Chen, Dapeng, Ye, Tianchun. Experimental investigation of fundamental film properties for Co1-xTix alloying films with different compositions (0 <= x <= 1). JOURNAL OF MATERIALS SCIENCE-MATERIALS IN ELECTRONICS[J]. 2020, 31(1): 105-114, https://www.webofscience.com/wos/woscc/full-record/WOS:000518400500015.
[60] Cheng, Xiaohong, Li, Yongliang, Liu, Haoyan, Zan, Ying, Lu, Yihong, Zhang, Qingzhu, Li, Junjie, Du, Anyan, Wu, Zhenhua, Luo, Jun, Wang, Wenwu. Selective wet etching in fabricating SiGe nanowires with TMAH solution for gate-all-around MOSFETs. JOURNAL OF MATERIALS SCIENCE-MATERIALS IN ELECTRONICS[J]. 2020, 31(24): 22478-22486, https://www.webofscience.com/wos/woscc/full-record/WOS:000585906600004.
[61] Zhang, Dan, Xu, Jing, Gao, Jianfeng, Du, Anyan, Zhang, Jing, Mao, Shujuan, Men, Yang, Liu, Pengfei, Gu, Shihai, Luo, Xue, Liu, Yaodong, Wang, Guilei, Li, Junfeng, Zhao, Chao, Wang, Wenwu, Chen, Dapeng, Ye, Tianchun, Luo, Jun. Impact of Ge pre-amorphization implantation on Co/Co-Ti/n(+)-Si contacts in advanced Co interconnects. JAPANESE JOURNAL OF APPLIED PHYSICS[J]. 2020, 59: https://www.webofscience.com/wos/woscc/full-record/WOS:000546609800007.
[62] Li, Junjie, Li, Yongliang, Zhou, Na, Xiong, Wenjuan, Wang, Guilei, Zhang, Qingzhu, Du, Anyan, Gao, Jianfeng, Kong, Zhenzhen, Lin, Hongxiao, Xiang, Jinjuan, Li, Chen, Yin, Xiaogen, Wang, Xiaolei, Yang, Hong, Ma, Xueli, Han, Jianghao, Zhang, Jing, Hu, Tairan, Cao, Zhe, Yang, Tao, Li, Junfeng, Yin, Huaxiang, Zhu, Huilong, Luo, Jun, Wang, Wenwu, Radamson, Henry H. Study of Silicon Nitride Inner Spacer Formation in Process of Gate-all-around Nano-Transistors. NANOMATERIALS[J]. 2020, 10(4): http://dx.doi.org/10.3390/nano10040793.
[63] Wang, Yan, Ma, Yuanjun, Shi, Jinping, Yan, Xiangyu, Luo, Jun, Zhu, Huilong, Jia, Kunpeng, Li, Juan, Zhang, Can Yang. Surface Modification of Monolayer MoS(2)by Baking for Biomedical Applications. FRONTIERS IN CHEMISTRY[J]. 2020, 8: https://www.ncbi.nlm.nih.gov/pmc/articles/PMC7573127/.
[64] Sun, Xianglie, Lv, Shuliang, Li, Yuan, Huang, Chi, Ma, Haodong, Luo, Jun. Influence of TaN films deposited using different N-2 flow rates on the properties of Ta and Cu films in advanced 3D NAND memory. MATERIALS SCIENCE IN SEMICONDUCTOR PROCESSING[J]. 2020, 115: http://dx.doi.org/10.1016/j.mssp.2020.105120.
[65] Zhao, Zhiqian, Li, Yan, Zan, Ying, Li, Yongliang, Li, Junjie, Cheng, Xiaohong, Wang, Guilei, Liu, Haoyan, Wang, Hanxiang, Zhang, Qingzhu, Ma, Xueli, Wang, Xiaolei, Yang, Hong, Li, JunFeng, Luo, Jun, Yin, Huaxiang, Wang, Wenwu. Fabrication technique of the Si0.5Ge0.5 Fin for the high mobility channel FinFET device. SEMICONDUCTOR SCIENCE AND TECHNOLOGY[J]. 2020, 35(4): https://www.webofscience.com/wos/woscc/full-record/WOS:000520431400001.
[66] Shahzad, Kashif, Jia, Kunpeng, Zhao, Chao, Yan, Xiangyu, Yadong, Zhang, Usman, Muhammad, Luo, Jun. An Improved Rosin Transfer Process for the Reduction of Residue Particles for Graphene. NANOSCALE RESEARCH LETTERS[J]. 2020, 15(1): http://dx.doi.org/10.1186/s11671-020-03312-1.
[67] Ngan Hoang Pham, Vallin, Orjan, Panda, J, Kamalakar, M Venkata, Guo, Junji, Luo, Jun, Wen, Chenyu, Zhang, ShiLi, Zhang, ZhiBin. High thermoelectric power factor of p-type amorphous silicon thin films dispersed with ultrafine silicon nanocrystals. JOURNAL OF APPLIED PHYSICS[J]. 2020, 127(24): http://dx.doi.org/10.1063/5.0004318.
[68] Hong, Peizhen, Zhao, Zhiguo, Luo, Jun, Xia, Zhiliang, Su, Xiaojing, Zhang, Libin, Li, Chunlong, Huo, Zongliang. An Improved Dimensional Measurement Method of Staircase Patterns With Higher Precision in 3D NAND. IEEE ACCESS[J]. 2020, 8: 140054-140061, https://doaj.org/article/e9da23ff1a4543e3bc9c99f11603f5dc.
[69] Wang, Guilei, Kolahdouz, M, Luo, Jun, Qin, Changliang, Gu, Shihai, Kong, Zhenzhen, Yin, Xiaogen, Xiong, Wenjuan, Zhao, Xuewei, Liu, Jinbiao, Yang, Tao, Li, Junfeng, Yin, Huaxiang, Zhu, Huilong, Wang, Wenwu, Zhao, Chao, Ye, Tianchun, Radamson, Henry H. Growth of SiGe layers in source and drain regions for 10 nm node complementary metal-oxide semiconductor (CMOS). JOURNAL OF MATERIALS SCIENCE-MATERIALS IN ELECTRONICS[J]. 2020, 31(1): 26-33, https://www.webofscience.com/wos/woscc/full-record/WOS:000518400500005.
[70] Luo, Xue, Wang, Guilei, Xu, Jing, Mao, Shujuan, Zhang, Dan, Liu, Shi, Li, Junfeng, Li, Yongliang, Wang, Wenwu, Chen, Dapeng, Zhao, Chao, Ye, Tianchun, Luo, Jun. Investigation of NiGe Films Formed on Both n(+)- and p(+)-Ge with P and B Ion Implantation before Germanidation. ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY[J]. 2019, 8(4): P271-P276, https://www.webofscience.com/wos/woscc/full-record/WOS:000467199300002.
[71] Zhao, Zhiqian, Li, Yongliang, Wang, Guilei, Du, Anyan, Gu, Shihai, Li, Yan, Zhang, Qingzhu, Xu, Gaobo, Ma, Xueli, Wang, Xiaolei, Yang, Hong, Luo, Jun, Li, JunFeng, Yin, Huaxiang, Wang, Wenwu. A novel three-layer graded SiGe strain relaxed buffer for the high crystal quality and strained Si0.5Ge0.5 layer epitaxial grown. JOURNAL OF MATERIALS SCIENCE-MATERIALS IN ELECTRONICS[J]. 2019, 30(15): 14130-14135, https://www.webofscience.com/wos/woscc/full-record/WOS:000478863500030.
[72] Luo Jun. Experimental investigation of fundamental film properties for Co1−xTix alloying films with different compositions (0 ≤ x≤1). Journal of Materials Science: Materials in Electronics. 2019, [73] 盛捷, 罗军, 吕亮, 赵志远, 肖志强. Ni基硅化物受退火方式影响的研究. 微电子学[J]. 2019, 270-274, http://lib.cqvip.com/Qikan/Article/Detail?id=77737873504849574850485051.
[74] Sun, Xianglie, Lv, Shuliang, Li, Yuan, Luo, Jun, Huang, Chi, Wan, Xianjin. Effects of Ar Plasma Treatment on the Properties of TaN/Ta Barrier for Copper Interconnects in Advanced 3D NAND Memory. ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY[J]. 2019, 8(12): P764-P767, https://www.webofscience.com/wos/woscc/full-record/WOS:000500511600001.
[75] Wang, Wen, Luo, Jun, Jiang, Hao, Feng, Junhong. Impact of Post High-kappa Deposition Anneal (PDA) and Post High-kappa Capping Anneal (PCA) on the xBTI Performance in HfO2-Based FinFETs. ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY[J]. 2019, 8(1): P30-P34, [76] 田阳雨, 罗军, 金鹰, 吴元芳. 基于电荷泵技术的三维器件的界面电荷特性研究. 半导体技术[J]. 2019, 542-547, http://lib.cqvip.com/Qikan/Article/Detail?id=66688474504849574855484948.
[77] Zhao, Zhiqian, Li, Yongliang, Wang, Guilei, Du, Anyan, Li, Yan, Zhang, Qingzhu, Xu, Gaobo, Zhang, Yongkui, Luo, Jun, Li, JunFeng, Wang, Wenwu. Process optimization of the Si0.7Ge0.3 Fin Formation for the STI first scheme. SEMICONDUCTOR SCIENCE AND TECHNOLOGY[J]. 2019, 34(12): https://www.webofscience.com/wos/woscc/full-record/WOS:000494668200001.
[78] Zhang, Dan, Mao, Shujuan, Wang, Guilei, Xu, Jing, Luo, Xue, Zhao, Chao, Li, Junfeng, Wang, Wenwu, Chen, Dapeng, Ye, Tianchun, Luo, Jun. Exploration of the impact of interface states density on the specific contact resistivity in TiSix/n(+)-Si Ohmic contacts through high-low frequency method. JAPANESE JOURNAL OF APPLIED PHYSICS[J]. 2019, 58: https://www.webofscience.com/wos/woscc/full-record/WOS:000476921500009.
[79] Mao, Shujuan, Luo, Jun. Titanium-based ohmic contacts in advanced CMOS technology. JOURNAL OF PHYSICS D-APPLIED PHYSICSnull. 2019, 52(50): https://www.webofscience.com/wos/woscc/full-record/WOS:000486373700001.
[80] 侯西亮, 罗军, 李弦. 一种新型电压倍增电路的设计. 微电子学[J]. 2019, 49(6): 802-806+811, https://kns.cnki.net/KCMS/detail/detail.aspx?dbcode=CJFQ&dbname=CJFDLAST2020&filename=MINI201906013&v=MjkwNTQ5RVo0UjhlWDFMdXhZUzdEaDFUM3FUcldNMUZyQ1VSN3FlWitkcUZDamxXNzNLS0NURlo3RzRIOWpNcVk=.
[81] Shahzad, Kashif, Jia, Kunpeng, Yu, Xiang, Zhang, Dan, Luo, Xue, Xu, Jing, Jin, Zhi, Sun, Rong, Wang, Dahai, Muhammad, Usman, Luo, Jun. Effect of interfacial Ni between graphene and Pt/Au on reducing specific contact resistivity. JAPANESE JOURNAL OF APPLIED PHYSICS[J]. 2019, 58: [82] Mao, Shujuan, Zhao, Chao, Wang, Guilei, Xu, Jing, Luo, Xue, Zhang, Dan, Wang, Wenwu, Chen, Dapeng, Li, Junfeng, Ye, Tianchun, Luo, Jun. Impacts of Ge Preamorphization Implantation and Si Capping on the Specific Contact Resistivity of Ni(Pt) SiGe/p(+)-SiGe Contacts. IEEE TRANSACTIONS ON ELECTRON DEVICES[J]. 2019, 66(10): 4331-4336, [83] 贺金鹏, 蒋晓钧, 明安杰, 傅剑宇, 罗军, 王玮冰, 陈大鹏. 40nm节点高深宽比接触孔刻蚀电性能稳定性改善. 半导体技术[J]. 2019, 44(3): 194-200, http://lib.cqvip.com/Qikan/Article/Detail?id=7001643481.
[84] 杨凯, 刁华彬, 赵超, 罗军. β-Ga2O3欧姆接触的研究进展. 微纳电子技术[J]. 2019, 56(9): 681-690, http://lib.cqvip.com/Qikan/Article/Detail?id=7002875884.
[85] Zhang, Guodong, Wang, Bingguo, Li, Tuo, Li, Lei, Liu, Junzhan, Luo, Jun. Influence of Polysilicon Deposition Conditions on Advanced 3D NAND. ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY[J]. 2019, 8(10): Q207-Q210, http://dx.doi.org/10.1149/2.0171910jss.
[86] Zhang, Dan, Xu, Jing, Mao, Shujuan, Zhao, Chao, Wang, Guilei, Luo, Xue, Li, Junfeng, Li, Yongliang, Wang, Wenwu, Chen, Dapeng, Ye, Tianchun, Luo, Jun. Co-sputtering Co-Ti alloy as a single barrier/liner for Co interconnects and thermal stability enhancement using TiN metal capping. JOURNAL OF MATERIALS SCIENCE-MATERIALS IN ELECTRONICS[J]. 2019, 30(11): 10579-10588, http://dx.doi.org/10.1007/s10854-019-01402-0.
[87] Shahzad, Kashif, Jia, Kunpeng, Zhao, Chao, Wang, Dahai, Usman, Muhammad, Luo, Jun. Effects of Different Ion Irradiation on the Contact Resistance of Pd/Graphene Contacts. MATERIALS[J]. 2019, 12(23): http://apps.webofknowledge.com/CitedFullRecord.do?product=UA&colName=WOS&SID=5CCFccWmJJRAuMzNPjj&search_mode=CitedFullRecord&isickref=WOS:000510178700124.
[88] 刁华彬, 杨凯, 赵超, 罗军. 新一代功率半导体β-Ga2O3器件进展与展望. 微纳电子技术[J]. 2019, 56(11): 875-887,901, http://lib.cqvip.com/Qikan/Article/Detail?id=7100225580.
[89] Mao, Shujuan, Wang, Guilei, Xu, Jing, Luo, Xue, Zhang, Dan, Duan, Ningyuan, Liu, Shi, Wang, Wenwu, Chen, Dapeng, Li, Junfeng, Zhao, Chao, Ye, Tianchun, Luo, Jun. Impact of Ge Preamorphization Implantation on Both the Formation of Ultrathin TiSix and the Specific Contact Resistivity in TiSix/n-Si Contacts. IEEE TRANSACTIONS ON ELECTRON DEVICES[J]. 2018, 65(10): 4490-4498, https://www.webofscience.com/wos/woscc/full-record/WOS:000445239700063.
[90] Tian, Yangyu, Chen, Kun, Jin, Ying, Luo, Jun. A Study of High-Low Frequency Charge Pumping Method on Evaluating Interface Traps in Bulk FinFETs. ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY[J]. 2018, 7(9): Q164-Q168, https://www.webofscience.com/wos/woscc/full-record/WOS:000442078400001.
[91] Mao, Shujuan, Wang, Guilei, Xu, Jing, Zhang, Dan, Luo, Xue, Wang, Wenwu, Chen, Dapeng, Li, Junfeng, Du, Anyan, Zhao, Chao, Ye, Tianchun, Luo, Jun. Improved Ti germanosilicidation by Ge pre-amorphization implantation (PAI) for advanced contact technologies. MICROELECTRONIC ENGINEERING[J]. 2018, 201: 1-5, http://dx.doi.org/10.1016/j.mee.2018.09.006.
[92] Luo Jun. On the manifestation of Ge pre-amorphization implantation (PAI) impact on both the formation of ultrathin TiSix and the specific contact resistivity in TiSix/n-Si contacts for sub-16/14 nm nodes and beyond. 2018 18th International Workshop on Junction Technology (IWJT). 2018, [93] Sun, Feng, Li, Chen, Fu, Chaochao, Zhou, Xiangbiao, Luo, Jun, Zou, Wei, Qiu, ZhiJun, Wu, Dongping. Tuning of Schottky Barrier Height at NiSi/Si Contact by Combining Dual Implantation of Boron and Aluminum and Microwave Annealing. MATERIALS[J]. 2018, 11(4): https://doaj.org/article/4b60100b51124bba87e9e74455cdc031.
[94] Duan, Ningyuan, Wang, Guilei, Xu, Jing, Mao, Shujuan, Luo, Xue, Zhang, Dan, Wang, Wenwu, Chen, Dapeng, Li, Junfeng, Liu, Shi, Zhao, Chao, Ye, Tianchun, Luo, Jun. Enhancing the thermal stability of NiGe by prior-germanidation fluorine implantation into Ge substrate. JAPANESE JOURNAL OF APPLIED PHYSICS[J]. 2018, 57(7): https://www.webofscience.com/wos/woscc/full-record/WOS:000439389500004.
[95] Wang, Sumei, Yang, Meiyin, Luo, Jun, Zhao, Chao, Wang, Wenwu, Ye, Tianchun. Switching of Exchange-Coupled Perpendicularly Magnetized Layers Under Spin-Orbit Torque. IEEE TRANSACTIONS ON MAGNETICS[J]. 2018, 54(11): https://www.webofscience.com/wos/woscc/full-record/WOS:000447832100005.
[96] Luo Jun. Impact of Ge Preamorphization Implantation on Both the Formation of Ultrathin TiSiₓ and the Specific Contact Resistivity in TiSiₓ/n-Si Contacts. IEEE Transactions on Electron Devices. 2018, [97] Yan, Xiangyu, Jia, Kunpeng, Su, Yajuan, Ma, Yuanjun, Luo, Jun, Zhu, Huilong, Wei, Yayi. Edge-Contact Formed by Oxygen Plasma and Rapid Thermal Annealing to Improve Metal-Graphene Contact Resistance. ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY[J]. 2018, 7(2): M11-M15, https://www.webofscience.com/wos/woscc/full-record/WOS:000425215200025.
[98] Tian, Min, Xu, Jing, Zhong, Huicai, Li, Li, Luo, Jun, Wang, Zhigang. Role of Ti Electrode on the Electrical Characterization of Filament within Al2O3 Based Antifuse. ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY[J]. 2018, 7(4): N37-N41, https://www.webofscience.com/wos/woscc/full-record/WOS:000430973200006.
[99] Luo, Xue, Wang, Guilei, Xu, Jing, Duan, Ningyuan, Mao, Shujuan, Liu, Shi, Li, Junfeng, Wang, Wenwu, Chen, Dapeng, Zhao, Chao, Ye, Tianchun, Luo, Jun. Impact of Ge pre-amorphization implantation on forming ultrathin TiGex on both n- and p-Ge substrate. JAPANESE JOURNAL OF APPLIED PHYSICS[J]. 2018, 57(7): https://www.webofscience.com/wos/woscc/full-record/WOS:000439389500003.
[100] Liu, J B, Luo, Jun, Simoen, Eddy, Wang, Guilei, Xiang, Jinjuan, Li, Junfeng, Zhao, Chao, Ye, Tianchun. Hot Implantations of P into Ge: Impact on the Diffusion Profile. ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY[J]. 2017, 6(1): P73-P77, https://www.webofscience.com/wos/woscc/full-record/WOS:000393981600021.
[101] 闫祥宇, 罗军, 贾昆鹏, 粟雅娟, 战俊. 基于CVD单层MoS2 FET的光电探测器. 微纳电子技术[J]. 2017, 54(7): 437-443, http://159.226.55.106/handle/172511/18124.
[102] Wang, Guilei, Luo, Jun, Liu, Jinbiao, Yang, Tao, Xu, Yefeng, Li, Junfeng, Yin, Huaxiang, Yan, Jiang, Zhu, Huilong, Zhao, Chao, Ye, Tianchun, Radamson, Henry H. pMOSFETs Featuring ALD W Filling Metal Using SiH4 and B2H6 Precursors in 22 nm Node CMOS Technology. NANOSCALE RESEARCH LETTERS[J]. 2017, 12(1): http://dx.doi.org/10.1186/s11671-017-2080-2.
[103] Fu, Chaochao, Wang, Yan, Xu, Peng, Yue, Lei, Sun, Feng, Zhang, David Wei, Zhang, ShiLi, Luo, Jun, Zhao, Chao, Wu, Dongping. Understanding the microwave annealing of silicon. AIP ADVANCES[J]. 2017, 7(3): http://dx.doi.org/10.1063/1.4978912.
[104] Wang, Guilei, Luo, Jun, Qin, Changliang, Liang, Renrong, Xu, Yefeng, Liu, Jinbiao, Li, Junfeng, Yin, Huaxiang, Yan, Jiang, Zhu, Huilong, Xu, Jun, Zhao, Chao, Radamson, Henry H, Ye, Tianchun. Integration of Highly Strained SiGe in Source and Drain with HK and MG for 22 nm Bulk PMOS Transistors. NANOSCALE RESEARCH LETTERS[J]. 2017, 12(1): http://dx.doi.org/10.1186/s11671-017-1908-0.
[105] Mao, ShuJuan, Xu, Jing, Wang, GuiLei, Luo, Jun, Duan, NingYuan, Simoen, Eddy, Radamson, Henry, Wang, WenWu, Chen, DaPeng, Li, JunFeng, Zhao, Chao, Ye, TianChun. On the Manifestation of Ge Pre-Amorphization Implantation (PAI) in Forming Ultrathin TiSix for Ti Direct Contact on Si in Sub-16/14 nm Complementary Metal-Oxide-Semiconductor (CMOS) Technology Nodes. ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY[J]. 2017, 6(9): P660-P664, https://www.webofscience.com/wos/woscc/full-record/WOS:000418363500016.
[106] Yin Huaxiang, Luo Jun, Henry Homayoun Radamson, Wang Guilei, Zhao Chao, Zhu Huilong, Qin Zhangliang. Optimization of Selective Growth of SiGe for Source/Drain in 14nm and Beyond Nodes FinFETs. INTERNATIONAL JOURNAL OF HIGH SPEED ELECTRONICS AND SYSTEMS[J]. 2017, http://159.226.55.106/handle/172511/18100.
[107] Zhao Chao, Zhong Huicai, Yin Huaxiang, Zhang Qingzhu, Luo Jun, Zhu Huilong. FinFETs on Insulator with Silicided Source/Drain. 2017, http://159.226.55.106/handle/172511/18278.
[108] Eddy simoen, 叶甜春, 赵超, 罗军, 王文武, 王盛凯, 韩楷, 项金娟, 王晓磊, Henry HRadamson. Physically Based Evaluation of Effect of Buried Oxide on Surface Roughness Scattering Limited Hole Mobility in Ultrathin GeOI MOSFET. IEEE TRANSACTRIONS ON ELELCTRON DIVECES[J]. 2017, http://159.226.55.106/handle/172511/18120.
[109] Xu, Miao, Zhu, Huilong, Zhang, Yanbo, Xu, Qiuxia, Zhang, Yongkui, Qin, Changliang, Zhang, Qingzhu, Yin, Huaxiang, Xu, Hao, Chen, Shuai, Luo, Jun, Li, Chunlong, Zhao, Chao, Ye, Tianchun. Two methods of tuning threshold voltage of bulk FinFETs with replacement high-k metal-gate stacks. SOLID-STATE ELECTRONICS[J]. 2017, 129: 52-60, http://dx.doi.org/10.1016/j.sse.2016.12.016.
[110] 战俊, 段宁远, 贾昆鹏, 罗军, 粟雅娟. 用于FET的PECVD SiNx掺杂MoS2的有效性与可控性. 微纳电子技术[J]. 2017, 54(4): 229-234, http://159.226.55.106/handle/172511/18122.
[111] Duan, Ningyuan, Wang, Guilei, Luo, Jun, Mao, Shujuan, Luo, Xue, Xu, Jing, Wang, Wenwu, Liu, Shi, Chen, Dapeng, Li, Junfeng, Zhao, Chao, Ye, Tianchun. A Modified Scheme to Reduce the Specific Contact Resistivity of NiSi/Si Contacts by Means of Dopant Segregation Technique. ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY[J]. 2017, 6(12): P904-P908, http://dx.doi.org/10.1149/2.0431712jss.
[112] Wang, Xiaolei, Xiang, Jinjuan, Han, Kai, Wang, Shengkai, Luo, Jun, Zhao, Chao, Ye, Tianchun, Radamson, Henry H, Simoen, Eddy, Wang, Wenwu. Physically Based Evaluation of Effect of Buried Oxide on Surface Roughness Scattering Limited Hole Mobility in Ultrathin GeOI MOSFETs. IEEE TRANSACTIONS ON ELECTRON DEVICES[J]. 2017, 64(6): 2611-2616, https://www.webofscience.com/wos/woscc/full-record/WOS:000402057100021.
[113] 李俊峰, Liang He, Eddy Simoen, Cor claeys, 王桂磊, 罗军, 赵超. Low frequency noise characterization of 22nm PMOS featuring with filling W gate using different precursor. 2017, http://159.226.55.106/handle/172511/18277.
[114] 王桂磊, 罗军, 秦长亮, 崔虎山, 刘金彪, 贾昆鹏, 李俊杰, 杨涛, 李俊峰, 殷华湘, 赵超, 叶甜, Henry Homayoun Radamson, 闫江, 赵超, 叶甜春. Integration of Selective Epitaxial Growth of SiGe/Ge layers in 14nm Node FinFETs. ECS TRANSACTIONS[J]. 2016, http://159.226.55.106/handle/172511/16224.
[115] 刘金彪, 罗军, Eddy Simoen, 杨芳, 王桂磊, 王文武, 陈大鹏, 李俊峰, 赵超. Junction Control by Carbon and Phosphorus Co-Implantation in Pre-Amorphized Germanium. ECS JOURNAL OF SOLID STATE SCIENCE AND TEHCHNOLOGY[J]. 2016, http://159.226.55.106/handle/172511/16196.
[116] Fang, Wen, Veloso, Anabela, Simoen, Eddy, Cho, MoonJu, Collaert, Nadine, Thean, Aaron, Luo, Jun, Zhao, Chao, Ye, Tianchun, Claeys, Cor. Impact of the Effective Work Function Gate Metal on the Low-Frequency Noise of Gate-All-Around Silicon-on-Insulator NWFETs. IEEE ELECTRON DEVICE LETTERS[J]. 2016, 37(4): 363-365, [117] 代云飞, 李丽, 罗军. Numerical Simulation of Dean Flow in Spiral Microchannels for Cancer Cell Sorting. IEEE-INEC[J]. 2016, http://159.226.55.106/handle/172511/16164.
[118] 贾昆鹏, 罗军, 胡荣炎, 战俊, 曹合适, 粟雅娟, 朱慧珑, 谢玲, 赵超, 陈大鹏. Evaluation of PMMA Residues as a Function of Baking Temperature and a Graphene Heat-Free-Transfer Process to Reduce Them. ECS JOURNAL OF SOLID STATE SCIENCE AND TEHCHNOLOGY[J]. 2016, http://159.226.55.106/handle/172511/16197.
[119] Simoen, Eddy, Schaekers, Marc, Liu, Jinbiao, Luo, Jun, Zhao, Chao, Barla, Kathy, Collaert, Nadine. Defect engineering for shallow n-type junctions in germanium: Facts and fiction. PHYSICA STATUS SOLIDI A-APPLICATIONS AND MATERIALS SCIENCE[J]. 2016, 213(11): 2799-2808, https://www.webofscience.com/wos/woscc/full-record/WOS:000388323200001.
[120] Zhang Qingzhu, Yin Huaxiang, Luo Jun, Yang Hong, Meng Lingkuan, Li Yudong, Wu Zhenhua, Zhang Yanbo, Zhang Yongkui, Qin Zhangliang, Li Junjie, Gao Jianfeng, Wang Guilei, Xiong Wenjuan, Xiang Jinjuan, Zhou Zhangyu, Mao Shujuan, Xu Gaobo, Liu Jinbiao, Yang Tao. FOI FinFET with Ultra-low Parasitic Resistance Enabled by Fully Metallic Source and Drain Formation on Isolated Bulk-Fin. 2016 IEEE International Electron Devices Meeting: IEDM 2016, San Francisco, California, USA, 3-7 December 2016, pages 452-929, v.2null. 2016, 452-455, http://159.226.55.106/handle/172511/16336.
[121] Jia, Kunpeng, Su, Yajuan, Zhan, Jun, Shahzad, Kashif, Zhu, Huilong, Zhao, Chao, Luo, Jun. Enhanced End-Contacts by Helium Ion Bombardment to Improve Graphene-Metal Contacts. NANOMATERIALS[J]. 2016, 6(9): http://159.226.55.106/handle/172511/16193.
[122] Fu, Chaochao, Zhou, Xiangbiao, Wang, Yan, Xu, Peng, Xu, Ming, Wu, Dongping, Luo, Jun, Zhao, Chao, Zhang, ShiLi. Schottky Barrier Height Tuning via the Dopant Segregation Technique through Low-Temperature Microwave Annealing. MATERIALS[J]. 2016, 9(5): http://159.226.55.106/handle/172511/16228.
[123] Wang, Guilei, Qin, Changliang, Yin, Huaxiang, Luo, Jun, Duan, Ningyuan, Yang, Ping, Gao, Xingyu, Yang, Tao, Li, Junfeng, Yan, Jiang, Zhu, Huilong, Wang, Wenwu, Chen, Dapeng, Ye, Tianchun, Zhao, Chao, Radamson, Henry H. Study of SiGe selective epitaxial process integration with high-k and metal gate for 16/14 nm nodes FinFET technology. MICROELECTRONIC ENGINEERING[J]. 2016, 163: 49-54, http://dx.doi.org/10.1016/j.mee.2016.06.002.
[124] Eddy Simoen, 刘金彪, 罗军, 赵超. Defect engineering for shallow n‐type junctions in germanium: Facts and fiction. PHYSICA STATUS SOLIDI (A)[J]. 2016, http://159.226.55.106/handle/172511/16227.
[125] Duan, Ningyuan, Luo, Jun, Wang, Guilei, Liu, Jinbiao, Simoen, Eddy, Mao, Shujuan, Radamson, Henry, Wang, Xiaolei, Li, Junfeng, Wang, Wenwu, Zhao, Chao, Ye, Tianchun. Reduction of NiGe/n- and p-Ge Specific Contact Resistivity by Enhanced Dopant Segregation in the Presence of Carbon During Nickel Germanidation. IEEE TRANSACTIONS ON ELECTRON DEVICES[J]. 2016, 63(11): 4546-4549, http://159.226.55.106/handle/172511/16192.
[126] Jia, Kunpeng, Luo, Jun, Hu, Rongyan, Zhan, Jun, Cao, Heshi, Su, Yajuan, Zhu, Huilong, Xie, Ling, Zhao, Chao, Chen, Dapeng, Ye, Tianchun. Evaluation of PMMA Residues as a Function of Baking Temperature and a Graphene Heat-Free-Transfer Process to Reduce Them. ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY[J]. 2016, 5(3): P138-P141, https://www.webofscience.com/wos/woscc/full-record/WOS:000373206700005.
[127] Chen, Wenhui, Luo, Jun, Meng, Lingkuan, Li, Junjie, Xiang, Jinjuan, Li, Junfeng, Wang, Wenwu, Chen, Dapeng, Ye, Tianchun, Zhao, Chao. Atomic layer deposition assisted pattern transfer technology for ultra-thin block copolymer films. THIN SOLID FILMS[J]. 2016, 613: 32-37, http://dx.doi.org/10.1016/j.tsf.2015.10.032.
[128] 罗军, 刘金彪, Eddy Simoen, 王桂磊, 毛淑娟, Henry Homayoun Radamson, 段宁远, 李俊峰, 王文武. On the Manipulation of Phosphorus Diffusion as Well as the Reduction of Specific Contact Resistivity in Ge by Carbon Co-Doping. ECS TRANSACTIONS[J]. 2016, http://159.226.55.106/handle/172511/16194.
[129] Qin, Changliang, Wang, Guilei, Kolahdouz, M, Luo, Jun, Yin, Huaxing, Yang, Ping, Li, Junfeng, Zhu, Huilong, Chao, Zhao, Ye, Tianchun, Radamson, Henry H. Impact of pattern dependency of SiGe layers grown selectively in source/drain on the performance of 14 nm node FinFETs. SOLID-STATE ELECTRONICS[J]. 2016, 124: 10-15, http://dx.doi.org/10.1016/j.sse.2016.07.024.
[130] 方雯, 罗军, 赵超, 叶甜春, Eddy Simoen. Impact of the Effective Work Function Gate Metal on the Low-Frequency Noise of Gate-All-Around Silicon-on-Insulator NWFETs. IEEE ELETRCON DEVICE LETTERS[J]. 2016, http://159.226.55.106/handle/172511/16229.
[131] Xu, Qiang, Luo, Jun, Wang, Guilei, Yang, Tao, Li, Junfeng, Ye, Tianchun, Chen, Dapeng, Zhao, Chao. Application of ALD W films as gate filling metal in 22 nm HKMG-last integration: Evaluation and improvement of the adhesion in CMP process. MICROELECTRONIC ENGINEERING[J]. 2015, 137: 43-46, http://dx.doi.org/10.1016/j.mee.2015.01.007.
[132] Wang, Guilei, Abedin, Ahmad, Moeen, Mandi, Kolandouz, Mohammadreza, Luo, Jun, Guo, Yiluan, Chen, Tao, Yin, Huaxiang, Zhu, Huilong, Li, Junfeng, Zhao, Chao, Radamson, Henry H. Integration of highly-strained SiGe materials in 14 nm and beyond nodes FinFET technology. SOLID-STATE ELECTRONICS[J]. 2015, 103: 222-228, http://dx.doi.org/10.1016/j.sse.2014.07.008.
[133] Fang Wen, Eddy Simoen, Li Chikang, Marc Aoulaiche, Luo Jun, Zhao Chao, Cor Claeys. Silicon-film-related random telegraph noise in UTBOX silicon-on-insulator nMOSFETs. JOURNAL OF SEMICONDUCTORS[J]. 2015, 36(9): 94005-1,  http://dx.doi.org/10.1088/1674-4926/36/9/094005.
[134] Fang, Wen, Simoen, Eddy, Arimura, Hiroaki, Mitard, Jerome, Sioncke, Sonja, Mertens, Hans, Mocuta, Anda, Collaert, Nadine, Luo, Jun, Zhao, Chao, Thean, Aaron VoonYew, Claeys, Cor. Low-Frequency Noise Characterization of GeOx Passivated Germanium MOSFETs. IEEE TRANSACTIONS ON ELECTRON DEVICES[J]. 2015, 62(7): 2078-2083, http://www.irgrid.ac.cn/handle/1471x/1091093.
[135] 张宇, 魏胜, 李民权, 赵超, 罗军, 黄成军. 用于单个纳米颗粒检测的固态纳米孔器件的仿真与优化. 传感技术学报[J]. 2015, 1425-1431, http://lib.cqvip.com/Qikan/Article/Detail?id=67717483504849534948484849.
[136] Xu Miao, Yin Huaxiang, Zhu Huilong, Ma Xiaolong, Xu Weijia, Zhang Yongkui, Zhao Zhiguo, Luo Jun, Yang Hong, Li Chunlong, Meng Lingkuan, Hong Peizheng, Xiang Jinjuan, Gao Jianfeng, Xu Qiang, Xiong Wenjuan, Wang Dahai, Li Junfeng, Zhao Chao, Chen Dapeng, Yang Simon, Ye Tianchun. Device parameter optimization for sub-20 nm node HK/MG-last bulk FinFETs. JOURNAL OF SEMICONDUCTORS[J]. 2015, 36(4): 044007-1, http://www.irgrid.ac.cn/handle/1471x/1091059.
[137] Liu, Qingbo, Wang, Guilei, Guo, Yiluan, Ke, Xingxing, Radamson, Henry, Liu, Hong, Zhao, Chao, Luo, Jun. Effects of carbon pre-germanidation implant into Ge on the thermal stability of NiGe films. MICROELECTRONIC ENGINEERING[J]. 2015, 133: 6-10, http://dx.doi.org/10.1016/j.mee.2014.11.008.
[138] Guo Yiluan, Wang Guilei, Zhao Chao, Luo Jun. Simulation and characterization of stress in FinFETs using novel LKMC and nanobeam diffraction methods. JOURNAL OF SEMICONDUCTORS[J]. 2015, 36(8): 086001-01, http://www.irgrid.ac.cn/handle/1471x/1091089.
[139] 魏胜, 彭猛, 李民权, 罗军. 在SixGe1-xC0.02衬底上直接生长石墨烯. 半导体技术[J]. 2015, 531-535,553, [140] Jia, Kunpeng, Su, Yajuan, Chen, Yang, Luo, Jun, Yang, Jie, Lv, Peng, Zhang, Zihan, Zhu, Huilong, Zhao, Chao, Ye, Tianchun. Effects of defects and thermal treatment on the properties of graphene. VACUUM[J]. 2015, 116: 90-95, http://dx.doi.org/10.1016/j.vacuum.2015.03.003.
[141] Liu, Qingbo, Wang, Guilei, Guo, Yiluan, Ke, Xingxing, Liu, Hong, Zhao, Chao, Luo, Jun. Optimization of a two-step Ni(5% Pt) germanosilicidation process and the redistribution of Pt in Ni(Pt)Si1-xGex germanosilicide. VACUUM[J]. 2015, 111: 114-118, http://dx.doi.org/10.1016/j.vacuum.2014.10.007.
[142] Wang, Guilei, Moeen, M, Abedin, A, Xu, Yefeng, Luo, Jun, Guo, Yiluan, Qin, Changliang, Tang, Zhaoyun, Yin, Haizhou, Li, Junfeng, Yan, Jiang, Zhu, Huilong, Zhao, Chao, Chen, Dapeng, Ye, Tianchun, Kolahdouz, M, Radamson, Henry H. Impact of pattern dependency of SiGe layers grown selectively in source/drain on the performance of 22 nm node pMOSFETs. SOLID-STATE ELECTRONICS[J]. 2015, 114: 43-48, http://dx.doi.org/10.1016/j.sse.2015.07.003.
[143] Liu, Qingbo, Wang, Guilei, Duan, Ningyuan, Radamson, Henry, Liu, Hong, Zhao, Chao, Luo, Jun. Effects of Carbon Pre-Germanidation Implantation on the Thermal Stability of NiGe and Dopant Segregation on Both n- and p-Type Ge Substrate. ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY[J]. 2015, 4(5): P119-P123, http://www.irgrid.ac.cn/handle/1471x/1091086.
[144] Fang, Wen, Simoen, Eddy, Aoulaiche, Marc, Luo, Jun, Zhao, Chao, Claeys, Cor. Distinction between silicon and oxide traps using single-trap spectroscopy. PHYSICA STATUS SOLIDI A-APPLICATIONS AND MATERIALS SCIENCE[J]. 2015, 212(3): 512-517, https://www.webofscience.com/wos/woscc/full-record/WOS:000351530800004.
[145] Cui, Hushan, Luo, Jun, Xu, Jing, Gao, Jianfeng, Xiang, Jinjuan, Tang, Zhaoyun, Wang, Xiaolei, Lu, Yihong, He, Xiaobin, Li, Tingting, Tang, Bo, Yu, Jiahan, Yang, Tao, Yan, Jiang, Li, Junfeng, Zhao, Chao, Ye, Tianchun. Investigation of TaN as the wet etch stop layer for HKMG-last integration in the 22 nm and beyond nodes CMOS technology. VACUUM[J]. 2015, 119: 185-188, http://dx.doi.org/10.1016/j.vacuum.2015.05.021.
[146] Qilong Bao, Tiankai Zhu, Ning Zhou, Shiping Guo, Jun Luo, Chao Zhao. Effect of hydrogen carrier gas on AlN and AlGaN growth in AMEC Prismo D-Blue® MOCVD platform. JOURNAL OF CRYSTAL GROWTH. 2015, 419: 52-56, http://dx.doi.org/10.1016/j.jcrysgro.2015.02.084.
[147] Bao, Qilong, Zhu, Tiankai, Zhou, Ning, Guo, Shiping, Luo, Jun, Zhao, Chao. Effect of hydrogen carrier gas on AlN and AlGaN growth in AMEC Prismo D-Blue (R) MOCVD platform. JOURNAL OF CRYSTAL GROWTH[J]. 2015, 419: 52-56, https://www.webofscience.com/wos/woscc/full-record/WOS:000352706500010.
[148] 柯星星, 罗军, 赵超, 王桂磊. 近红外波段Ge光电探测器的研究进展. 半导体技术[J]. 2015, 40(4): 241-249, https://d.wanfangdata.com.cn/periodical/bdtjs201504001.
[149] Wei, Xing, Zhong, Jian, Luo, Jun, Wu, Hao, Zhu, Huilong, Zhao, Chao, Yin, Haizhou. FinFETs using reverse substrate layer with improved gate capacitance characteristics for subthreshold application. SOLID-STATE ELECTRONICS[J]. 2015, 104: 116-121, http://dx.doi.org/10.1016/j.sse.2014.10.011.
[150] Luo, Jun, Qiu, ZhiJun, Deng, Jian, Zhao, Chao, Li, Junfeng, Wang, Wenwu, Chen, Dapeng, Wu, Dongping, Ostling, Mikael, Ye, Tianchun, Zhang, ShiLi. Variation of Schottky barrier height induced by dopant segregation monitored by contact resistivity measurements. MICROELECTRONIC ENGINEERING[J]. 2014, 120: 174-177, http://dx.doi.org/10.1016/j.mee.2013.09.003.
[151] Tong, Xiaodong, Luo, Jun, Wu, Hao, Liang, Qingqing, Zhong, Huicai, Zhu, Huilong, Zhao, Chao. Two-terminal vertical memory cell for cross-point static random access memory applications. JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B[J]. 2014, 32(2): https://www.webofscience.com/wos/woscc/full-record/WOS:000333560600041.
[152] Bao, Qilong, Luo, Jun, Zhao, Chao. Mechanism of TMAl pre-seeding in AlN epitaxy on Si (111) substrate. VACUUM[J]. 2014, 101: 184-188, http://dx.doi.org/10.1016/j.vacuum.2013.08.015.
[153] Chen, Wenhui, Luo, Jun, Shi, Peixiong, Li, Chunlong, He, Xiaobin, Hong, Peizhen, Li, Junfeng, Zhao, Chao. Self-assembling morphologies of symmetrical PS-b-PMMA in different sized confining grooves. RSC ADVANCES[J]. 2014, 4(92): 50393-50400, http://dx.doi.org/10.1039/c4ra09573a.
[154] Deng, Jian, Liu, Qingbo, Zhao, Chao, Li, Junfeng, Wang, Wenwu, Chen, Dapeng, Ye, Tianchun, Luo, Jun. A modified scheme to tune the Schottky Barrier Height of NiSi by means of dopant segregation technique. VACUUM[J]. 2014, 99: 225-227, http://dx.doi.org/10.1016/j.vacuum.2013.05.024.
[155] Zhao, Lichuan, Tang, Zhaoyun, Tang, Bo, Ma, Xueli, Liu, Jinbiao, Xiang, Jinjuan, Gao, Jianfeng, Li, Chunlong, He, Xiaobin, Jia, Cheng, Ding, Mingzheng, Yang, Hong, Xu, Yefeng, Xu, Jing, Wang, Hongli, Liu, Peng, Hong, Peizhen, Meng, Lingkuan, Li, Tingting, Xiong, Wenjuan, Wu, Hao, Li, Junjie, Wang, Guilei, Yang, Tao, Cui, Hushan, Lu, Yihong, Tong, Xiaodong, Luo, Jun, Zhong, Jian, Xu, Qiang, Wang, Wenwu, Li, Junfeng, Zhu, Huilong, Zhao, Chao, Yan, Jiang, Chen, Dapeng, Yang, Simon, Ye, Tianchun. Mitigation of Reverse Short-Channel Effect With Multilayer TiN/Ti/TiN Metal Gates in Gate Last PMOSFETs. IEEE ELECTRON DEVICE LETTERS[J]. 2014, 35(8): 811-813, http://dx.doi.org/10.1109/LED.2014.2331356.
[156] Wang, Guilei, Xu, Qiang, Yang, Tao, Xiang, Jinjuan, Xu, Jing, Gao, Jianfeng, Li, Chunlong, Li, Junfeng, Yan, Jiang, Chen, Dapeng, Ye, Tianchun, Zhao, Chao, Luo, Jun. Application of Atomic Layer Deposition Tungsten (ALD W) as Gate Filling Metal for 22 nm and Beyond Nodes CMOS Technology. ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY[J]. 2014, 3(4): P82-P85, https://www.webofscience.com/wos/woscc/full-record/WOS:000333570300009.
[157] 邹志超, 李超波, 罗军, 夏洋. 等离子体浸没离子注入技术在FinFET掺杂中的应用. 半导体技术[J]. 2014, 39(8): 596-599,604, http://www.irgrid.ac.cn/handle/1471x/1092465.
[158] Meng, Lingkuan, Li, Chunlong, He, Xiaobin, Luo, Jun, Li, Junfeng, Zhao, Chao, Yan, Jiang. Innovatively composite hard mask to feature sub-30 nm gate patterning. MICROELECTRONIC ENGINEERING[J]. 2014, 127: 7-13, http://dx.doi.org/10.1016/j.mee.2014.02.010.
[159] Luo, Jun, Qiu, ZhiJun, Deng, Jian, Zhao, Chao, Li, Junfeng, Wang, Wenwu, Chen, Dapeng, Wu, Dongping, Ostling, Mikael, Ye, Tianchun, Zhang, ShiLi. Effects of carbon pre-silicidation implant into Si substrate on NiSi. MICROELECTRONIC ENGINEERING[J]. 2014, 120: 178-181, http://dx.doi.org/10.1016/j.mee.2013.08.010.
[160] 毛淑娟, 罗军, 闫江. 杂质分凝技术对肖特基势垒高度的调制. 半导体技术[J]. 2013, 38(1): 55-59, http://www.irgrid.ac.cn/handle/1471x/1091018.
[161] Wang Guilei, Xu Qiang, Yang Tao, Luo Jun, Xiang Jinjuan, Xu Jing, Xu Gaobo, Li Chunlong, Li Junfeng, Yan Jiang, Zhao Chao, Chen Dapeng, Ye Tianchun, Roozeboom F, Delabie A, Londergan A, DeGendt S, Elam JW, VanDerStraten O. Application of Atomic Layer Deposition Tungsten (ALD W) as Gate Filling Metal for 22 nm and Beyond Nodes CMOS Technology. ATOMIC LAYER DEPOSITION APPLICATIONS 9null. 2013, 58(10): 317-324, [162] Cui Hushan, Xu Jing, Gao Jianfeng, Xiang Jinjuan, Lu Yihong, Tang Zhaoyun, He Xiaobin, Li Tingting, Luo Jun, Wang Xiaolei, Tang Bo, Yu Jiahan, Yang Tao, Yan Jiang, Li Junfeng, Zhao Chao, Hattori T, Ruzyllo J, Mertens P, Novak RE. Evaluation of TaN as the Wet Etch Stop Layer during the 22nm HKMG Gate Last CMOS Integrations. SEMICONDUCTOR CLEANING SCIENCE AND TECHNOLOGY 13 (SCST 13)null. 2013, 58(6): 111-118, [163] 包琦龙, 丛宏林, 徐小明, 江忠永, 张昊翔, 罗军, 赵超. 100mm直径硅衬底上MOCVD外延生长无裂纹GaN. 半导体技术[J]. 2013, 38(2): 130-134, http://www.irgrid.ac.cn/handle/1471x/1091017.
[164] 江忠永, 丛宏林, 徐小明, 包琦龙, 张昊翔, 罗军, 赵超. Si衬底上MOCVD生长AlN的预铺铝机理研究. 半导体技术[J]. 2013, 38(4): 292-296, http://www.irgrid.ac.cn/handle/1471x/1091019.
[165] Xu, Peng, Fu, Chaochao, Hu, Cheng, Zhang, David Wei, Wu, Dongping, Luo, Jun, Zhao, Chao, Zhang, ZhiBin, Zhang, ShiLi. Ultra-shallow junctions formed using microwave annealing. APPLIED PHYSICS LETTERS[J]. 2013, 102(12): http://www.irgrid.ac.cn/handle/1471x/1091011.
[166] Mao ShuJuan, Luo Jun, Yan Jiang, Tang TA, Jiang YL. Gap Fill Capability of Ni PVD Based on Silicide-last Process. 2012 IEEE 11TH INTERNATIONAL CONFERENCE ON SOLID-STATE AND INTEGRATED CIRCUIT TECHNOLOGY (ICSICT-2012)null. 2012, 1103-1105, http://apps.webofknowledge.com/CitedFullRecord.do?product=UA&colName=WOS&SID=5CCFccWmJJRAuMzNPjj&search_mode=CitedFullRecord&isickref=WOS:000319824700310.
[167] Piao, Yinghua, Zhu, Zhiwei, Gao, Xindong, Karabko, Aliaksandra, Hu, Cheng, Qiu, Zhijun, Luo, Jun, Zhang, ZhiBin, Zhang, ShiLi, Wu, Dongping. Extensive Raman spectroscopic investigation of ultrathin Co1-xNixSi2 films grown on Si(100). JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A[J]. 2012, 30(4): https://www.webofscience.com/wos/woscc/full-record/WOS:000306142800045.
[168] Song, Yi, Xu, Qiuxia, Luo, Jun, Zhou, Huajie, Niu, Jiebin, Liang, Qingqing, Zhao, Chao. Performance Breakthrough in Gate-All-Around Nanowire n- and p-Type MOSFETs Fabricated on Bulk Silicon Substrate. IEEE TRANSACTIONS ON ELECTRON DEVICES[J]. 2012, 59(7): 1885-1890, http://dx.doi.org/10.1109/TED.2012.2194785.
[169] Song, Yi, Luo, Jun, Li, Xiuling. Vertically stacked individually tunable nanowire field effect transistors for low power operation with ultrahigh radio frequency linearity. APPLIED PHYSICS LETTERS[J]. 2012, 101(9): https://www.webofscience.com/wos/woscc/full-record/WOS:000308408100082.
[170] Song, Yi, Zhou, Huajie, Xu, Qiuxia, Luo, Jun, Yin, Haizhou, Yan, Jiang, Zhong, Huicai. Mobility Enhancement Technology for Scaling of CMOS Devices: Overview and Status. JOURNAL OF ELECTRONIC MATERIALS[J]. 2011, 40(7): 1584-1612, https://www.webofscience.com/wos/woscc/full-record/WOS:000291041100016.
[171] Luo, Jun, Wu, Dongping, Qiu, Zhijun, Lu, Jun, Hultman, Lars, Ostling, Mikael, Zhang, ShiLi. On Different Process Schemes for MOSFETs With a Controllable NiSi-Based Metallic Source/Drain. IEEE TRANSACTIONS ON ELECTRON DEVICES[J]. 2011, 58(7): 1898-1906, https://www.webofscience.com/wos/woscc/full-record/WOS:000291952900011.
[172] Luo, Jun, Gao, Xindong, Qiu, ZhiJun, Lu, Jun, Wu, Dongping, Zhao, Chao, Li, Junfeng, Chen, Dapeng, Hultman, Lars, Zhang, ShiLi. Thermal Stability and Dopant Segregation for Schottky Diodes With Ultrathin Epitaxial NiSi2-y. IEEE ELECTRON DEVICE LETTERS[J]. 2011, 32(8): 1029-1031, http://dx.doi.org/10.1109/LED.2011.2157301.
[173] Luo, Jun, Qiu, Zhijun, Zha, Chaolin, Zhang, Zhen, Wu, Dongping, Lu, Jun, Akerman, Johan, Ostling, Mikael, Hultman, Lars, Zhang, ShiLi. Surface-energy triggered phase formation and epitaxy in nanometer-thick Ni1-xPtx silicide films. APPLIED PHYSICS LETTERS[J]. 2010, 96(3): http://dx.doi.org/10.1063/1.3291679.
[174] Luo, Jun, Qiu, ZhiJun, Zhang, Zhen, Ostling, Mikael, Zhang, ShiLi. Interaction of NiSi with dopants for metallic source/drain applications. JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B[J]. 2010, 28(1): C1I1-C1I11, https://www.webofscience.com/wos/woscc/full-record/WOS:000275511800013.
[175] Luo, Jun, Qiu, ZhiJun, Zhang, David Wei, Hellstrom, PerErik, Ostling, Mikael, Zhang, ShiLi. Effects of Carbon on Schottky Barrier Heights of NiSi Modified by Dopant Segregation. IEEE ELECTRON DEVICE LETTERS[J]. 2009, 30(6): 608-610, http://dx.doi.org/10.1109/LED.2009.2018285.
[176] 罗军, 庞照勇, 程珊珊, 倪蔚, 熊兆贤. 预烧温度对BZN微波陶瓷介电性能的影响. 功能材料[J]. 2004, 1335-1337, http://lib.cqvip.com/Qikan/Article/Detail?id=1000313541.
[177] Zhou Xigeng, Zhang Chunmei, Luo Jun, Chen Yinghua, Shao Zehuai, Li Qiong. 混配型茂基稀土氯化物Ln(C5H5)(C5H4CH3)Cl(Ln=Y,Gd,Er,Yb)的合成和结构研究. 复旦学报:自然科学版[J]. 2003, 42(6): 1025-1032, http://lib.cqvip.com/Qikan/Article/Detail?id=8888037.
[178] 陈文辉, 罗军, 赵超. 固态纳米孔: 下一代DNA测序技术-原理、工艺与挑战. 中国科学: 生命科学. 44: https://www.sciengine.com/doi/10.1360/N052014-00091.
发表著作
(1) Optimization of Selective Growth of SiGe for Source/Drain in 14nm and Beyond Nodes FinFETs, World Scientific Publishing Company, 2017-06, 第 2 作者
(2) CMOS: Past, Present and Future, Woodhead Publishing, 2018-04, 第 2 作者

科研活动

   
科研项目
( 1 ) 高k金属栅与全硅化物Fin源漏, 参与, 国家任务, 2015-01--2018-12
( 2 ) 场发射枪扫描电子显微镜, 参与, 国家任务, 2013-09--2018-09
( 3 ) 基于微纳电子技术, 参与, 中国科学院计划, 2015-08--2019-09
( 4 ) 先导集成电路器件及电路, 参与, 中国科学院计划, 2012-12--2016-12
( 5 ) 青年创新促进会, 负责人, 中国科学院计划, 2011-01--2020-12
( 6 ) 体硅FinFET 与关键工艺研究, 参与, 国家任务, 2013-01--2016-12
( 7 ) 新型低温MRAM器件研究, 负责人, 中国科学院计划, 2018-01--2023-01
( 8 ) 自旋逻辑器件结构设计、磁隧道结制备及性能优化, 负责人, 其他任务, 2018-01--2018-12
( 9 ) 1X纳米FDSOI先导工艺研究, 负责人, 研究所自选, 2020-05--2022-05
( 10 ) 自旋轨道矩随机存取存储器研究, 负责人, 企业委托, 2020-05--2022-05
( 11 ) 自旋逻辑器件结构设计、磁隧道结制备及性能优化, 负责人, 其他任务, 2020-05--2021-05
( 12 ) 青促会优秀会员, 负责人, 中国科学院计划, 2020-01--2023-01
( 13 ) 基于FDSOI工艺的物联网智能感知与 嵌入式存储电路的核心技术研究, 负责人, 地方任务, 2021-05--2024-05
( 14 ) 基于2X纳米及以下FDSOI技术的先导工艺研究及低功耗物联网特色引导芯片研发, 负责人, 地方任务, 2021-09--2024-09
( 15 ) 面向先进逻辑制程的STT-eMRAM存储单元设计和工艺技术研究, 负责人, 其他任务, 2021-05--2023-12
( 16 ) GAA和FDSOI器件与先进制造核心技术, 负责人, 中国科学院计划, 2022-11--2025-10
参与会议
(1)先进CMOS接触工艺技术   全国半导体物理学术会议   Jun Luo   2021-07-09
(2)Impact of Ge Pre-amorphization Implantation (PAI) on Both the Formation of Ultrathin TiSix and the Specific Contact Resistivity (c) in TiSix/n+-Si Contacts   Jun Luo   2018-06-18
(3)Improved Ti Germanosilicidation by Ge Pre-Amorphization Implantation (PAI) for Advanced Contact Technology   Jun Luo   2018-03-18
(4)Improved Ti Silicidation by Ge Pre-amorphization Implantation (PAI) for Advanced Contact Technologies   Jun Luo   2018-03-08
(5)Advanced Silicide/Germanide technology for sub­16/14 nm node devices   Jun Luo   2017-10-18
(6)On the Manipulation of Phosphorus Diffusion As Well As the Reduction of Specific Contact Resistivity in Ge By Carbon Co-Doping   2016-10-02

指导学生

已指导学生

李昱东  博士研究生  080903-微电子学与固体电子学  

张韫韬  硕士研究生  085209-集成电路工程  

王文  硕士研究生  085209-集成电路工程  

田阳雨  硕士研究生  085209-集成电路工程  

盛捷  硕士研究生  085208-电子与通信工程  

张国栋  硕士研究生  085208-电子与通信工程  

孙祥烈  硕士研究生  085208-电子与通信工程  

马浩东  硕士研究生  085208-电子与通信工程  

何国伟  硕士研究生  085208-电子与通信工程  

侯西亮  硕士研究生  080903-微电子学与固体电子学  

李梦华  硕士研究生  085209-集成电路工程  

谭鑫广  硕士研究生  085209-集成电路工程  

周雪冰  硕士研究生  080903-微电子学与固体电子学  

杨腾智  博士研究生  080903-微电子学与固体电子学  

张丹  博士研究生  080903-微电子学与固体电子学  

刘耀东  博士研究生  080903-微电子学与固体电子学  

李彦如  博士研究生  080903-微电子学与固体电子学  

徐步青  博士研究生  080903-微电子学与固体电子学  

熊文娟  博士研究生  080903-微电子学与固体电子学  

现指导学生

陈佳  博士研究生  080903-微电子学与固体电子学  

孔梦娟  硕士研究生  085400-电子信息  

孙祥烈  博士研究生  080903-微电子学与固体电子学  

邹思楠  硕士研究生  080903-微电子学与固体电子学  

曹纬  博士研究生  080903-微电子学与固体电子学  

赵磊  博士研究生  080903-微电子学与固体电子学  

王欣哲  硕士研究生  085400-电子信息  

何燕萍  博士研究生  080903-微电子学与固体电子学  

高海粟  硕士研究生  085400-电子信息  

杨博文  硕士研究生  085400-电子信息  

陈博涵  硕士研究生  085400-电子信息  

陈旭  博士研究生  080903-微电子学与固体电子学  

黄真  博士研究生  080903-微电子学与固体电子学  

童克友  硕士研究生  080903-微电子学与固体电子学  

刘超  博士研究生  080903-微电子学与固体电子学